Uttam Singisetti. , Mark A. Wistey, Greg J. Burek, Ashish K

Slides:



Advertisements
Similar presentations
by Alexander Glavtchev
Advertisements

6.1 Transistor Operation 6.2 The Junction FET
IEEE Device Research Conference, June , Notre Dame
Metal Oxide Semiconductor Field Effect Transistors
Derek Wright Monday, March 7th, 2005
Improved Migration-Enhanced Epitaxy for Self-Aligned InGaAs Devices Electronic Materials Conference (EMC), Mark A. Wistey University of California,
Spring 2007EE130 Lecture 41, Slide 1 Lecture #41 QUIZ #6 (Friday, May 4) Material of HW#12 & HW#13 (Lectures 33 through 38) –MOS non-idealities, V T adjustment;
High-K Dielectrics The Future of Silicon Transistors
Zhang Xintong 11/26/2014 Process technologies for making FinFETs.
High performance 110 nm InGaAs/InP DHBTs in dry-etched in-situ refractory emitter contact technology Vibhor Jain, Evan Lobisser, Ashish Baraskar, Brian.
2007 DRC Ultra Low Resistance Ohmic Contacts to InGaAs/InP Uttam Singisetti*, A.M. Crook, E. Lind, J.D. Zimmerman, M. A. Wistey, M.J.W. Rodwell, and A.C.
1 InGaAs/InP DHBTs demonstrating simultaneous f t / f max ~ 460/850 GHz in a refractory emitter process Vibhor Jain, Evan Lobisser, Ashish Baraskar, Brian.
Device Research Conference 2011
In-situ and Ex-situ Ohmic Contacts To Heavily Doped p-InGaAs TLM Fabrication by photolithography and liftoff Ir dry etched in SF 6 /Ar with Ni as etch.
1 Uttam Singisetti*, Man Hoi Wong, Jim Speck, and Umesh Mishra ECE and Materials Departments University of California, Santa Barbara, CA 2011 Device Research.
1 Scalable E-mode N-polar GaN MISFET devices and process with self-aligned source/drain regrowth Uttam Singisetti*, Man Hoi Wong, Sansaptak Dasgupta, Nidhi,
NAMBE 2010 Ashish Baraskar, UCSB 1 In-situ Iridium Refractory Ohmic Contacts to p-InGaAs Ashish Baraskar, Vibhor Jain, Evan Lobisser, Brian Thibeault,
DRC mS/  m In 0.53 Ga 0.47 As MOSFET with 5 nm channel and self-aligned epitaxial raised source/drain Uttam Singisetti*, Mark A. Wistey, Greg.
IPRM 2010 Ashish Baraskar 1 High Doping Effects on in-situ Ohmic Contacts to n-InAs Ashish Baraskar, Vibhor Jain, Uttam Singisetti, Brian Thibeault, Arthur.
Ashish Baraskar 1, Mark A. Wistey 3, Evan Lobisser 1, Vibhor Jain 1, Uttam Singisetti 1, Greg Burek 1, Yong Ju Lee 4, Brian Thibeault 1, Arthur Gossard.
2010 Electronic Materials Conference Ashish Baraskar June 23-25, 2010 – Notre Dame, IN 1 In-situ Ohmic Contacts to p-InGaAs Ashish Baraskar, Vibhor Jain,
1 InGaAs/InP DHBTs in a planarized, etch-back technology for base contacts Vibhor Jain, Evan Lobisser, Ashish Baraskar, Brian J Thibeault, Mark Rodwell.
1 Interface roughness scattering in ultra-thin GaN channels in N-polar enhancement-mode GaN MISFETs Uttam Singisetti*, Man Hoi Wong, Jim Speck, and Umesh.
1 In 0.53 Ga 0.47 As MOSFETs with 5 nm channel and self-aligned source/drain by MBE regrowth Uttam Singisetti PhD Defense Aug 21, 2009 *
Optional Reading: Pierret 4; Hu 3
CMOS Process Integration ECE/ChE 4752: Microelectronics Processing Laboratory Gary S. May March 25, 2004.
280 GHz f T InP DHBT with 1.2  m 2 base-emitter junction area in MBE Regrown-Emitter Technology Yun Wei*, Dennis W. Scott, Yingda Dong, Arthur C. Gossard,
Szu-Wei Huang, C-V Lab, GIEE of NTU 1 黃 思 維 F Graduate Institute of Electronics Engineering National Taiwan University Advanced Multi-Gate Technologies.
Nanometer InP Electron Devices for VLSI and THz Applications
IC Process Integration
Limitations of Digital Computation William Trapanese Richard Wong.
Prospects for High-Aspect-Ratio FinFETs in Low-Power Logic Mark Rodwell, Doron Elias University of California, Santa Barbara 3rd Berkeley Symposium on.
2010 IEEE Device Research Conference, June 21-23, Notre Dame, Indiana
Comparison of Ultra-Thin InAs and InGaAs Quantum Wells and
University of California Santa Barbara Yingda Dong Molecular Beam Epitaxy of Low Resistance Polycrystalline P-Type GaSb Y. Dong, D. Scott, Y. Wei, A.C.
University of California Santa Barbara Yingda Dong Characterization of Contact Resistivity on InAs/GaSb Interface Y. Dong, D. Scott, A.C. Gossard and M.J.
Lecture 23 OUTLINE The MOSFET (cont’d) Drain-induced effects Source/drain structure CMOS technology Reading: Pierret 19.1,19.2; Hu 6.10, 7.3 Optional Reading:
III-V/Ge Channel Engineering for Future CMOS
Application of Silicon-Germanium in the Fabrication of Ultra-shallow Extension Junctions of Sub-100 nm PMOSFETs P. Ranade, H. Takeuchi, W.-H. Lee, V. Subramanian,
III-V MOSFETs: Scaling Laws, Scaling Limits,Fabrication Processes A. D. Carter, G. J. Burek, M. A. Wistey*, B. J. Thibeault, A. Baraskar, U. Singisetti,
Device Research Conference, 2005 Zach Griffith and Mark Rodwell Department of Electrical and Computer Engineering University of California, Santa Barbara,
12 nm-Gate-Length Ultrathin-Body InGaAs/InAs MOSFETs with 8
2009 Electronic Materials Conference Ashish Baraskar June 24-26, 2009 – University Park, PA 1 High Doping Effects on In-situ and Ex-situ Ohmic Contacts.
Technology Development for InGaAs/InP-channel MOSFETs
Ultrathin InAs-Channel MOSFETs on Si Substrates Cheng-Ying Huang 1, Xinyu Bao 2, Zhiyuan Ye 2, Sanghoon Lee 1, Hanwei Chiang 1, Haoran Li 1, Varistha Chobpattana.
University of California, Santa Barbara
Suppression of Random Dopant-Induced Threshold Voltage Fluctuations in Sub-0.1μm MOSFET’s with Epitaxial and δ-Doped Channels A. Asenov and S. Saini, IEEE.
Improved Regrowth of Self-Aligned Ohmic Contacts for III-V FETs North American Molecular Beam Epitaxy Conference (NAMBE), Mark A. Wistey Now at.
III-V CMOS: Device Design & Process Flows , fax ESSDERC Workshop on Germanium and III-V MOS Technology, Sept.
THz Bipolar Transistor Circuits: Technical Feasibility, Technology Development, Integrated Circuit Results ,
Device Research Conference 2007 Erik Lind, Adam M. Crook, Zach Griffith, and Mark J.W. Rodwell Department of Electrical and Computer Engineering University.
III-V MOS: Planar and Fin Technologies 2014 MRS Spring Meeting, April 23, San Francisco. M.J.W. Rodwell, UCSB III-V MOS: S. Lee, C.-Y. Huang, D. Elias,
Process Technologies For Sub-100-nm InP HBTs & InGaAs MOSFETs M. A. Wistey*, U. Singisetti, G. J. Burek, B. J. Thibeault, A. Baraskar, E. Lobisser, V.
Indium Phosphide and Related Materials
Field Effect Transistor (FET)
ISCS 2008 InGaAs MOSFET with self-aligned Source/Drain by MBE regrowth Uttam Singisetti*, Mark A. Wistey, Greg J. Burek, Erdem Arkun, Ashish K. Baraskar,
A Self-Aligned Epitaxial Regrowth Process for Sub-100-nm III-V FETs A. D. Carter, G. J. Burek, M. A. Wistey*, B. J. Thibeault, A. Baraskar, U. Singisetti,
Guided by: Prof.J.D.PRADHAN Submitted By: K.Anurag Regn no:
Contact Resistance Modeling and Analysis of HEMT Devices S. H. Park, H
Lower Limits To Specific Contact Resistivity
Device Research Conference, June 19, 2012
by Alexander Glavtchev
Contact Resistance Modeling in HEMT Devices
High Transconductance Surface Channel In0. 53Ga0
6.3.3 Short Channel Effects When the channel length is small (less than 1m), high field effect must be considered. For Si, a better approximation of field-dependent.
Optional Reading: Pierret 4; Hu 3
Ultra Low Resistance Ohmic Contacts to InGaAs/InP
Record Extrinsic Transconductance (2. 45 mS/μm at VDS = 0
Beyond Si MOSFETs Part 1.
Presentation transcript:

0.37 mS/mm In0.53Ga0.47As MOSFET with 5 nm channel and self-aligned epitaxial raised source/drain Uttam Singisetti*, Mark A. Wistey, Greg J. Burek, Ashish K. Baraskar, Joel Cagnon, B. J. Thibeault, S. Stemmer, A.C. Gossard, and M.J.W. Rodwell ECE and Materials Departments University of California, Santa Barbara, CA Eun Ji Kim, Byungha Shin, and Paul C McIntyre Materials Science and Engineering, Stanford University, Stanford, CA Yong-ju Lee Intel Corporation, Santa Clara, CA 2009 Device Research Conference Pennsylvania State University, State College, PA *uttam@ece.ucsb.edu

Outline Motivation: III-V MOSFETs Approach: Self-aligned source/drain by MBE regrowth FET and contacts Results Conclusion and future work

Why III-V MOSFETs Silicon MOSFETs: Gate oxide may limit <16 nm scaling Id / Wg ~ cox(Vg-Vth)vinj Id / Qtransit ~ vinj / Lg IBM 45nm NMOS Narayan et al, VLSI 2006 Alternative: In0.53Ga0.47As channel MOSFETs low m* (0.041 mo) → high injection velocity (~ 2×107 cm/s)* → increase drive current, decreased CV/I * Enoki et al , EDL 1990

Target device structure Target 22 nm gate length Control of short-channel effects  vertical scaling 1 nm EOT: thin gate dielectric, surface-channel device 5 nm quantum well thickness <5 nm deep source / drain regions ~3 mA/mm target drive current low access resistance self-aligned, low resisitivity source / drain contacts self-aligned N+ source / drain regions with high doping

22 nm InGaAs MOSFET: source resistance Lg LS/D IBM High-k Metal gate transistor Image Source:EE Times Source access resistance degrades Id and gm IC Package density : LS/D ~ Lg =22 nm rc must be low Need low sheet resistance in thin ~5 nm N+ layer Design targets: rc ~1 W-mm2, rsheet ~ 400 W

22nm ion implanted InGaAs MOSFET Key Technological Challenges Shallow junctions ( ~ 5 nm), high (~5×1019 cm-3) doping Doping abruptness ( ~ 1 nm/decade) Lateral Straggle ( ~ 5 nm) Deep junctions would lead to degraded short channel effects

InGaAs MOSFET with raised source/drain by regrowth Interface HAADF-STEM1* 2 nm InGaAs regrowth InGaAs * TEM by J. Cagnon, Susanne Stemmer Group, UCSB Self-aligned source/drain defined by MBE regrowth1 Self-aligned in-situ Mo contacts2 Process flow & dimensions selected for 22 nm Lg design; present devices @ 200 nm gate length 1Wistey, EMC 2008 2Baraskar, EMC 2009

Regrown S/D process: key features Self-aligned & low resistivity ...source / drain N+ regions ...source / drain metal contacts Vertical S/D doping profile set by MBE abrupt on ~ 1 nm scale Gate-first gate dielectric formed after MBE growth uncontaminated / undamaged surface

Process flow* * Singisetti et al; Physica Status Solidi C, vol. 6, pp. 1394,2009

Key challenge in S/D process: gate stack etch Requirement: avoid damaging semiconductor surface: Approach: Gate stack with multiple selective etches* FIB Cross-section Damage free channel SiO2 Cr W Process scalable to sub-100 nm gate lengths * Singisetti et al; Physica Status Solidi C, vol. 6, pp. 1394,2009

Key challenge in S/D process: dielectric sidewall Sidewall must be kept thin: avoid carrier depletion, source starvation. Target < 15 nm sidewall in 22 nm Lg device 20-25 nm SiNx thick sidewalls in present devices Pulse doping in the barrier: compensate for carrier depletion from Dit

MOSFET SEMs Cross-section after regrowth, Top view of completed device but before Mo deposition Top view of completed device

MOSFET characteristics 4.7 nm Al203 , 1×1013 cm-2 pulse doping Maximum Drive current (Id): 0.95 mA/mm Peak transconductance (gm): 0.37 mS/mm Id and gm below expected values

FET source resistance SEM InGaAs regrowth on unprocessed thin InP* Series resistance estimated by extrapolating Ron to zero gate length Source access resistance ~ 500 W-mm

Source resistance : regrowth TLMs SEM InGaAs regrowth SEM FET Regrowth TLMs W / Cr / SiO2 gate No regrowth TLMs fabricated on the regrowth far away from the gate Regrowth sheet resistance ~ 29 W Mo/InGaAs contact resistance ~ 5.5 W-mm2 (12.6 W-mm) TLM data does not explain 500 W-mm observed FET source resistance

Source resistance: electron depletion near gate Electron depletion in regrowth shadow region (R1 ) Electron depletion in the channel under SiNx sidewalls (R2 )

InAs source/drain regrowth InAs regrowth Gate top of gate side of gate Mo S/D metal with N+ InAs underneath Improved InAs regrowth with low As flux for uniform filling1 InAs less susceptible to electron depletion: Fermi pinning above Ec2 1 Wistey et al, EMC 2009 Wistey et al NAMBE 2009. 2Bhargava et al , APL 1997

Non-classical CMOS Research Program Conclusion Self-aligned raised source/drain for scaled channel ( 5nm) D-FETs: peak Id = 0.95 mA/mm, and peak gm =0.37 mS/mm InAs Source/Drain E-FETs1 Next: scale to ~50 nm Lg gate dielectric quality This work was supported by Semiconductor Research Corporation under the Non-classical CMOS Research Program 1Singisetti et al, EDL submitted