A 280mV-to-1.1V 256b Reconfigurable SIMD Vector Permutation Engine with 2-D Shuffle in 22nm CMOS [ISSCC ’12] Literature Review Fang-Li Yuan Advisor: Prof.

Slides:



Advertisements
Similar presentations
® 1 Exponential Challenges, Exponential Rewards The Future of Moores Law Shekhar Borkar Intel Fellow Circuit Research, Intel Labs Fall, 2004.
Advertisements

Subthreshold SRAM Designs for Cryptography Security Computations Adnan Gutub The Second International Conference on Software Engineering and Computer Systems.
Digital Integrated Circuits© Prentice Hall 1995 Low Power Design Low Power Design in CMOS.
Vector: Data Layout Vector: x[n] P processors Assume n = r * p
Computer Structure Power Management Lihu Rappoport and Adi Yoaz Thanks to Efi Rotem for many of the foils.
Dynamic and Leakage Power Reduction in MTCMOS Circuits Using an Automated Efficient Gate Clustering Technique Mohab Anis, Shawki Areibi *, Mohamed Mahmoud.
The Once and Future Computer: Post-Moore Mechanical Circuits Matthew Spencer BEARS Symposium Lightning Talk
Trends and Perspectives in deep-submicron IC design Bram Nauta MESA + Research Institute University of Twente, Enschede, The Netherlands University of.
Dezső Sima Evolution of Intel’s transistor technology 45 nm – 14 nm October 2014 Vers. 1.0.
S. Reda EN160 SP’08 Design and Implementation of VLSI Systems (EN1600) Lecture 14: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Dec. 6, 2005ELEC Glitch Power1 Low power design: Insert delays to eliminate glitches Yijing Chen Dec.6, 2005 Auburn university.
Low Power Design for Wireless Sensor Networks Aki Happonen.
8/19/04ELEC / ELEC / Advanced Topics in Electrical Engineering Designing VLSI for Low-Power and Self-Test Fall 2004 Vishwani.
Device Sizing Techniques for High Yield Minimum-Energy Subthreshold Circuits Dan Holcomb and Mervin John University of California, Berkeley EE241 Spring.
March 16, 2009SSST'091 Computing Bounds on Dynamic Power Using Fast Zero-Delay Logic Simulation Jins Davis Alexander Vishwani D. Agrawal Department of.
8/18/05ELEC / Lecture 11 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
EE 466: VLSI Design Instructor: Amlan Ganguly TA: Souradip Sarkar Meeting: MWF, 12.10pm, Sloan-38.
S. Reda EN160 SP’08 Design and Implementation of VLSI Systems (EN1600) Lecture 18: Scaling Theory Prof. Sherief Reda Division of Engineering, Brown University.
Institute of Digital and Computer Systems 1 Fabio Garzia / Finding Peak Performance in a Process23/06/2015 Chapter 5 Finding Peak Performance in a Process.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 22: Material Review Prof. Sherief Reda Division of Engineering, Brown University.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 13: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
Lecture 5 – Power Prof. Luke Theogarajan
Low-voltage techniques Mohammad Sharifkhani. Reading Text Book I, Chapter 4 Text Book II, Section 11.7.
Lecture 7: Power.
SoC Design Methodology for Exascale Computing
Subthreshold Dual Mode Logic
Advanced Computing and Information Systems laboratory Device Variability Impact on Logic Gate Failure Rates Erin Taylor and José Fortes Department of Electrical.
EE466: VLSI Design Power Dissipation. Outline Motivation to estimate power dissipation Sources of power dissipation Dynamic power dissipation Static power.
1. 2 Electronics Beyond Nano-scale CMOS Shekhar Borkar Intel Corp. July 27, 2006.
1 VLSI and Computer Architecture Trends ECE 25 Fall 2012.
“ Near-Threshold Computing: Reclaiming Moore’s Law Through Energy Efficient Integrated Circuits ” By Ronald G. Dreslinski, Michael Wieckowski, David Blaauw,
Multi Core Processor Submitted by: Lizolen Pradhan
TEMPLATE DESIGN © Gate-Diffusion Input (GDI) Technique for Low Power CMOS Logic Circuits Design Yerkebulan Saparov, Aktanberdi.
Sub-threshold Design of Ultra Low Power CMOS Circuits Students: Dmitry Vaysman Alexander Gertsman Supervisors: Prof. Natan Kopeika Prof. Orly Yadid-Pecht.
Jia Yao and Vishwani D. Agrawal Department of Electrical and Computer Engineering Auburn University Auburn, AL 36830, USA Dual-Threshold Design of Sub-Threshold.
MS108 Computer System I Lecture 2 Metrics Prof. Xiaoyao Liang 2014/2/28 1.
Limitations of Digital Computation William Trapanese Richard Wong.
Teaching VLSI Design Considering Future Industrial Requirements Matthias Hanke
LOGO Ultralow-Power Design in Near-Threshold Region Prof. : M. Shams Name: Yiqi Chang Student #:
Low Power – High Speed MCML Circuits (II)
80-Tile Teraflop Network-On- Chip 1. Contents Overview of the chip Architecture ▫Computational Core ▫Mesh Network Router ▫Power save features Performance.
XIAOYU HU AANCHAL GUPTA Multi Threshold Technique for High Speed and Low Power Consumption CMOS Circuits.
Beyond Moore's Law Spencer Anderson 13- April Moore's Law has both theoretical and practical limits. Most practical limits (e.g. energy, spatial)
Skewed Flip-Flop Transformation for Minimizing Leakage in Sequential Circuits Jun Seomun, Jaehyun Kim, Youngsoo Shin Dept. of Electrical Engineering, KAIST,
3D Transistor Research Report G.V. Kobyzgroup 8V83 E.O. Proskurinalanguage instructor.
W E L C O M E. T R I G A T E T R A N S I S T O R.
Semiconductor Industry Milestones
© Digital Integrated Circuits 2nd Inverter Digital Integrated Circuits A Design Perspective The Inverter Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.
Low-Power BIST (Built-In Self Test) Overview 10/31/2014
Simultaneous Supply, Threshold and Width Optimization for Low-Power CMOS Circuits With an aside on System based shutdown. Gord Allan PhD Candidate ASIC.
64 bit Kogge-Stone Adders in different logic styles – A study Rob McNish Satyanand Nalam.
Patricia Gonzalez Divya Akella VLSI Class Project.
EDA (Circuits) Overview Paul Hasler. Extent of Circuits (Analog/Digital) Analog ~ 20% of IC market since 1970 Hearing aids Automotive Biomedical Digital.
EE415 VLSI Design THE INVERTER [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 6.1 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng.
CS203 – Advanced Computer Architecture
Characterizing Processors for Energy and Performance Management Harshit Goyal and Vishwani D. Agrawal Department of Electrical and Computer Engineering,
Intel’s 3D Transistor BENJAMIN BAKER. Where we are headed  What is a transistor?  What it is and what does it do?  Moore’s Law  Who is Moore and what.
Transistors to Gates © 2011 Project Lead The Way, Inc.Magic of Electrons.
MOLETRONICS An Invisible technology Amit Dwivedi Ec 3rd Year
CS203 – Advanced Computer Architecture
Energy Efficient Computing in Nanoscale CMOS
Ultra-Low-Voltage UWB Baseband Processor
IC TECHNOLOGY.
Challenges in Nanoelectronics: Process Variability
M.S. Thesis Defense Murali Dharan Advisor: Dr. Vishwani D. Agrawal
Transistors to Gates Transistors to Gates Gateway To Technology
Circuit Characterization and Performance Estimation
Unit -4 Introduction to Embedded Systems Tuesday.
Presentation transcript:

A 280mV-to-1.1V 256b Reconfigurable SIMD Vector Permutation Engine with 2-D Shuffle in 22nm CMOS [ISSCC ’12] Literature Review Fang-Li Yuan Advisor: Prof. Dejan Marković 03/23/2012

IC Design Challenges: 1980s – Present  Session 1.4: Sustainability in Silicon & System Development – 1980s: Design productivity – 1990s: Power dissipation – 2000s: Leakage power – 2010s: 2 Fang-Li Yuan Moore’s Law continues to provide more transistors Energy Efficiency Power budgets limit our ability to use them

Intel’s Solutions – From Transistors to Circuits 3 Fang-Li Yuan 2007 ISSCC 2012 ISSCC

Near-Vth Computing: Great for Energy Efficiency 4 Fang-Li Yuan

IA-32: 1 st NTV Processor in 32nm CMOS 5 Fang-Li Yuan

NTV Circuits Gain 7x Efficiency in VPFP Mult-Add 6 Fang-Li Yuan

1 st NTV SIMD Engine in 22nm Tri-Gate Technology 7 Fang-Li Yuan

System-Level Overview 8 Fang-Li Yuan

Example: 64b 4x4 Matrix Transpose 9 Fang-Li Yuan

RF with PVT-tolerant Techniques & Vector FFs 10 Fang-Li Yuan

250mV Vmin Reduction Across PVT Variations 11 Fang-Li Yuan

Vector FFs Reduce Hold-Time Low V 12 Fang-Li Yuan

ULVS LS, & Interleaved Folded Crossbar Layout 13 Fang-Li Yuan

ULVS Improves Vmin by 125mV 14 Fang-Li Yuan

RF and Logic Co-optimization: Iso-Vmin 15 Fang-Li Yuan

Measured Performance 16 Fang-Li Yuan

Conclusions  NTV computing is energy efficient but sensitive to PVT variation  Static ckts (e.g. RF read): better than dynamic NTV  Shared P/N DETG writes improve V min across PVT variations  Vector FF/Mux share transistors across gates, averaging variation  ULVS LS interrupts contention devices, improving V min & power  Byte-wise enable-signal gating reduces power  Folded layout has 50% reduction in critical wiring length  Interleaved, opposite-direction data wires achieve 50% lower line-to-line coupling, improving SI & delay 17 Fang-Li Yuan