Presentation is loading. Please wait.

Presentation is loading. Please wait.

Core Generator Software System

Similar presentations


Presentation on theme: "Core Generator Software System"— Presentation transcript:

1 Core Generator Software System

2 After completing this module, you will able to:
Describe the differences between LogiCORE™ and AllianceCORE solutions Identify two benefits of using cores in your designs Create customized cores by using the CORE Generator software system GUI Instantiate cores into your HDL design Run behavioral simulation on a design that contains cores Page 2

3 What are Cores? A core is a ready-made function that you can instantiate into your design as a black box Cores can range in complexity Simple arithmetic operators, such as adders, accumulators, and multipliers System-level building blocks, such as filters, transforms, and memories Specialized functions, such as bus interfaces, controllers, and microprocessors Some cores can be customized Intellectual Property (IP) is another term that is often used in association with cores. Cores are one type of IP. Page 3

4 Benefits of Using Cores
Save design time Cores are created by expert designers who have in-depth knowledge of Xilinx FPGA architecture Guaranteed functionality saves time during simulation Increase design performance Cores that contain mapping and placement information have predictable performance that is constant over device size and utilization The data sheet for each core provides performance expectations Use timing constraints to achieve maximum performance Page 4

5 Types of Cores LogiCORE solutions AllianceCORE solutions Page 5

6 LogiCORE Solutions Typically customizable
Fully tested, documented, and supported by Xilinx Many are pre-placed for predictable timing Many are unlicensed and provided for free with Xilinx software More complex LogiCORE solution products are licensed VHDL and Verilog flow support for several EDA tools Page 6

7 AllianceCORE Solutions
Point-solution cores Typically not customizable (some HDL versions are customizable) Sold and supported by Xilinx AllianceCORE solution partners Partners can be contacted directly to provide customized cores A free evaluation version of the module is available You will need to contact the IP Center for licensing and ordering information All cores are optimized for Xilinx; some are pre-placed Typically supplied as an Electronic Design Interchange Format (EDIF) netlist VHDL and Verilog flow support Page 7

8 Sample Functions LogiCORE solutions AllianceCORE solutions
DSP functions Time skew buffers, Finite Impulse Response (FIR) filters, transforms, and correlators Math functions Accumulators, adders, multipliers, integrators, trig functions, and square root Memories Pipelined delay elements, single- and dual-port RAM Synchronous FIFOs PCI™ core master and slave interfaces, PCI core bridge AllianceCORE solutions Peripherals DMA controllers, programmable interrupt controllers, and UARTs Communications and networking ATM, Fibre Channel, and Ethernet MAC Error Correction CTC, 3GPP, Viterbi, and Reed-Solomon Video and image processing Standard bus interfaces PCMCIA, USB, PCI, PCI Express® core Page 8

9 CORE Generator Software System
A Graphical User Interface (GUI) allows central access to LogiCORE IP products, as well as Data sheets Customizable parameters Interfaces with design entry tools Creates instantiation templates for HDL-based designs Web Links tab provides access to the Xilinx Website and the IP Center The IP Center contains new cores to download and install You always have access to the latest cores To view information about AllianceCORE products, visit the IP Center on the Web at Page 9

10 Launching the CORE Generator
The Core Generator is available as standalone application Launched from Programs  Xilinx ISE Design Suite ISE Design Tools Tools  Core Generator Can be launched from ISE Project Navigator. Latest 12.1 PlanAhead software has Core Generator software integrated. To learn more about the Architecture Wizard, refer to the “Architecture Wizard and PinAhead” REL. If you are not using the Project Navigator, enter coregen at a command prompt (UNIX shell or DOS box). Demo Instructions: To open an existing project in the ISE software: Select File > Open Project. Browse to one of the lab project directories. Select an ISE® software file and click Open. Follow the instructions in the slide above to open the CORE Generator software. Enter a file name and click Next. Select a type of core, click Next, then click Finish. Page 10

11 Running the CORE Generator
From the Project Navigator, select Project  New Source Select IP (CORE Generator & Architecture Wizard) and enter a filename Click Next and then select the type of core To learn more about the Architecture Wizard, refer to the “Architecture Wizard and IOPlanner” REL. If you are not using the Project Navigator, enter coregen at a command prompt (UNIX shell or DOS box). Demo Instructions: To open an existing project in the ISE software: Select File > Open Project. Browse to one of the lab project directories. Select an ISE® software file and click Open. Follow the instructions in the slide above to open the CORE Generator software. Enter a file name and click Next. Select a type of core, click Next, then click Finish. Page 11

12 Running the CORE Generator(contd)
From the PlanAhead GUI , select Project Manager IP Catalog. In IP Cores window expand tree and select the type of core. Demo Instructions: To open an existing project in the PlanAhead software: Select Window > IP catalog. Follow the instructions in the slide above to open the CORE Generator software. Select a type of core, click Next, then click Finish. Page 12

13 Core Customize Window Version information
Symbol (unused ports grayed out) Customizable parameters spread over several dialog boxes Data sheet access Page 13

14 Core Data Sheets Features Also: Functionality and pinout (next page)
The Resource Utilization section of the data sheet may contain a table for cores that can be customized as well as resource utilization for common configurations. Depending on the core and its customization, the performance expectations and resource utilization can vary. For some cores performance and device utilization examples are included with the data sheet. Performance expectations and resource utilization Page 14

15 HDL Design Flow compxlib.exe Instantiate Simulate .VHD, .V Implement .VHO, .VEO Generate Core .xco Compile library for behavioral simulation (one time only) XilinxCoreLib Core generation and integration .NGC The next few slides describe each step in the HDL flow in more detail. The XCO file is a log of the options used to create the core. You can use this file to confirm that the correct options were used during core generation. You can also use this file to create another core with the same options. This file can also be used in batch mode. In Project Navigator, the XCO file is automatically added to the project. In the Language Templates, the instantiation templates will be added. To see the templates, select Edit > Language Templates or click the Language Templates icon in the horizontal toolbar. Page 15

16 Core Generation and Integration
Generate or purchase a core Netlist file (NGC) Instantiation template files (VHO or VEO) Behavioral simulation wrapper files (VHD or V) Instantiate the core into your HDL source Cut and paste from the templates provided in the VEO or VHO file The design is ready for synthesis and implementation Use the wrapper files for behavioral simulation The ISE® software automatically uses wrapper files when cores are present in the design VHDL: Analyze the wrapper file for each core before analyzing the file that instantiates the core Instantiation template files provide a template with all of the correct port declarations for the core. Simply cut and paste the template into your source file, change the instance name, if desired, and replace the dummy signal names with your own signal names. During synthesis, the core will be treated as a black box. However, the NGC or EDIF file will be read in by the synthesis tool to analyze the timing of the interface to the core. If the NGC/EDIF is not in the project directory (which it is, by default), be sure to add the directory to the “Cores Search Directories” property of xst (-sd), or add the NGC/EDIF file to your synthesis tool. During the first stage of implementation, the Xilinx tools will read in the NGC or EDIF file that was created by the CORE Generator software system. Again, if the core is not in the project directory, be sure to add the directory to the “Macro Search Path” property of translate (-sd). Many VHDL simulators require lower-level files to be analyzed before the file that references them. Remember to analyze the wrapper files for your cores before you analyze the file that references them. Most Verilog simulators do not have this order dependency. Page 16

17 Compile the Simulation Library
Before your first behavioral simulation, you must run compxlib.exe to compile the XilinxCoreLib simulation library Located in the $XILINX\bin\<platform> directory Supports Mentor Graphics ModelSim and SpeedWave, Cadence NC-Verilog, and Synopsys VCS and Scirocco simulation tools If you download new or updated cores, additional simulation models will be automatically extracted during installation If you are using a simulator that is not supported by the compxlib.exe script, refer to the CORE Generator Guide and your simulator documentation for information on how to compile the XilinxCoreLib library. Page 17

18 Summary A core is a ready-made and verified function that you can insert into your design LogiCORE solution products are sold and supported by Xilinx AllianceCORE solution products are sold and supported by AllianceCORE solution partners Using cores can save design time and provide increased performance Cores can be used in schematic or HDL design flows Page 18

19 Where Can I Learn More? Xilinx IP Center Xilinx Training
Help  Xilinx on the Web  IP Center Find out about new IP (for EDK as well) Browse IP by type, vendor, and function Find IP documentation Update the Core Generator with the latest IP Evaluate IP Xilinx Training Xilinx tools and architecture courses Hardware description language courses Basic FPGA architecture, Basic HDL Coding Techniques, and other free training videos! Page 19

20 Trademark Information
Xilinx is disclosing this Document and Intellectual Propery (hereinafter “the Design”) to you for use in the development of designs to operate on, or interface with Xilinx FPGAs. Except as stated herein, none of the Design may be copied, reproduced, distributed, republished, downloaded, displayed, posted, or transmitted in any form or by any means including, but not limited to, electronic, mechanical, photocopying, recording, or otherwise, without the prior written consent of Xilinx. Any unauthorized use of the Design may violate copyright laws, trademark laws, the laws of privacy and publicity, and communications regulations and statutes. Xilinx does not assume any liability arising out of the application or use of the Design; nor does Xilinx convey any license under its patents, copyrights, or any rights of others. You are responsible for obtaining any rights you may require for your use or implementation of the Design. Xilinx reserves the right to make changes, at any time, to the Design as deemed desirable in the sole discretion of Xilinx. Xilinx assumes no obligation to correct any errors contained herein or to advise you of any correction if such be made. Xilinx will not assume any liability for the accuracy or correctness of any engineering or technical support or assistance provided to you in connection with the Design. THE DESIGN IS PROVIDED “AS IS" WITH ALL FAULTS, AND THE ENTIRE RISK AS TO ITS FUNCTION AND IMPLEMENTATION IS WITH YOU. YOU ACKNOWLEDGE AND AGREE THAT YOU HAVE NOT RELIED ON ANY ORAL OR WRITTEN INFORMATION OR ADVICE, WHETHER GIVEN BY XILINX, OR ITS AGENTS OR EMPLOYEES. XILINX MAKES NO OTHER WARRANTIES, WHETHER EXPRESS, IMPLIED, OR STATUTORY, REGARDING THE DESIGN, INCLUDING ANY WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE, TITLE, AND NONINFRINGEMENT OF THIRD-PARTY RIGHTS. IN NO EVENT WILL XILINX BE LIABLE FOR ANY CONSEQUENTIAL, INDIRECT, EXEMPLARY, SPECIAL, OR INCIDENTAL DAMAGES, INCLUDING ANY LOST DATA AND LOST PROFITS, ARISING FROM OR RELATING TO YOUR USE OF THE DESIGN, EVEN IF YOU HAVE BEEN ADVISED OF THE POSSIBILITY OF SUCH DAMAGES. THE TOTAL CUMULATIVE LIABILITY OF XILINX IN CONNECTION WITH YOUR USE OF THE DESIGN, WHETHER IN CONTRACT OR TORT OR OTHERWISE, WILL IN NO EVENT EXCEED THE AMOUNT OF FEES PAID BY YOU TO XILINX HEREUNDER FOR USE OF THE DESIGN. YOU ACKNOWLEDGE THAT THE FEES, IF ANY, REFLECT THE ALLOCATION OF RISK SET FORTH IN THIS AGREEMENT AND THAT XILINX WOULD NOT MAKE AVAILABLE THE DESIGN TO YOU WITHOUT THESE LIMITATIONS OF LIABILITY. The Design is not designed or intended for use in the development of on-line control equipment in hazardous environments requiring fail-safe controls, such as in the operation of nuclear facilities, aircraft navigation or communications systems, air traffic control, life support, or weapons systems (“High-Risk Applications”). Xilinx specifically disclaims any express or implied warranties of fitness for such High-Risk Applications. You represent that use of the Design in such High-Risk Applications is fully at your risk. © 2009 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx, Inc. All other trademarks are the property of their respective owners.


Download ppt "Core Generator Software System"

Similar presentations


Ads by Google