.1PXL READOUT STAR PXL READOUT requirement and one solution Xiangming Sun.

Slides:



Advertisements
Similar presentations
L. Greiner 1IPHC meeting – September 5-6, 2011 STAR HFT LBNL Leo Greiner, Eric Anderssen, Thorsten Stezelberger, Joe Silber, Xiangming Sun, Michal Szelezniak,
Advertisements

Programmable Interval Timer
PXL RDO System Requirements And meeting goals 11/12/2009BNL_CD-1_SENSOR_RDO - LG1.
Oscilloscope Watch Teardown. Agenda History and General overview Hardware design: – Block diagram and general overview – Choice of the microcontroller.
Development of novel R/O electronics for LAr detectors Max Hess Controller ADC Data Reduction Ethernet 10/100Mbit Host Detector typical block.
RICH Data Flow Jianchun Wang. 2 HPD Readout Electronics 944 HPDs 163 channels / HPD 1 FE Hybrid / HPD ~160 FEMs 6 FE Hybrids / FEM 1-13 Cables / FEM 20.
David Nelson STAVE Test Electronics July 1, ATLAS STAVE Test Electronics Preliminary V3 Presented by David Nelson.
Research and Development for the HFT at STAR Leo Greiner BNL DAC 03/15/2006.
L. Greiner 1PXL BNL Safety Review– September 26, 2011 STAR HFT LBNL Leo Greiner, Eric Anderssen, Thorsten Stezelberger, Joe Silber, Xiangming Sun, Michal.
Time Division Multiplexing School of Physics and Astronomy Department of Particle Physics Elissavet Papadima 29/5/2014.
L. Greiner 1HFT PXL BNL FTF– September 27, 2011 STAR HFT LBNL Leo Greiner, Eric Anderssen, Thorsten Stezelberger, Joe Silber, Xiangming Sun, Michal Szelezniak,
Mathieu Goffe EUDET JRA1 meeting, DESY Wednesday 30 January 2008 IPHC, 23 rue du Loess BP 28, 67037, Strasbourg Cedex 02, France.
L. Greiner1PXL Sensor and RDO review – 06/23/2010 STAR PXL System Hardware Architecture.
Emlyn Corrin, DPNC, University of Geneva EUDAQ Status of the EUDET JRA1 DAQ software Emlyn Corrin, University of Geneva 1.
DDL hardware, DATE training1 Detector Data Link (DDL) DDL hardware Csaba SOOS.
Trigger Supervisor (TS) J. William Gu Data Acquisition Group 1.TS position in the system 2.First prototype TS 3.TS functions 4.TS test status.
Ultimate Design Review G. Bertolone, C. Colledani, A. Dorokhov, W. Dulinski, G. Dozière, A. Himmi, Ch. Hu-Guo, F. Morel, H. Pham, I. Valin, J. Wang, G.
Leo Greiner IPHC testing Sensor and infrastructure testing at LBL. Capabilities and Plan.
M. Szelezniak1PXL Sensor and RDO review – 06/23/2010 STAR Hardware Prototyping Status.
Prototype Test of SPring-8 FADC Module Da-Shung Su Wen-Chen Chang 02/07/2002.
U N C L A S S I F I E D FVTX Detector Readout Concept S. Butsyk For LANL P-25 group.
NEDA collaboration meeting at IFIC Valencia, 3rd-5th November 2010 M. Tripon EXOGAM2 project Digital instrumentation of the EXOGAM detector EXOGAM2 - Overview.
Understanding Data Acquisition System for N- XYTER.
Leo Greiner IPHC meeting HFT PIXEL DAQ Prototype Testing.
7 Nov 2007Paul Dauncey1 Test results from Imperial Basic tests Source tests Firmware status Jamie Ballin, Paul Dauncey, Anne-Marie Magnan, Matt Noy Imperial.
Phase-1 Design. i PHC Phase /04/2008 System Overview Clock, JTAG, sync marker and power supply connections Digital output.
Leo Greiner TC_Int1 Sensor and Readout Status of the PIXEL Detector.
Leo Greiner IPHC DAQ Readout for the PIXEL detector for the Heavy Flavor Tracker upgrade at STAR.
LANL FEM design proposal S. Butsyk For LANL P-25 group.
First ideas for the Argontube electronics Shaper, simulations Block Diagram for analog path Delta Code Data Reduction Bus system, Controller Max.
Bernardo Mota (CERN PH/ED) 17/05/04ALICE TPC Meeting Progress on the RCU Prototyping Bernardo Mota CERN PH/ED Overview Architecture Trigger and Clock Distribution.
Michal Szelezniak – LBL-IPHC meeting – May 2007 Prototype HFT readout system Telescope prototype based on three Mimostar2 chips.
Features of the new Alibava firmware: 1. Universal for laboratory use (readout of stand-alone detector via USB interface) and for the telescope readout.
Leo Greiner PIXEL Hardware meeting HFT PIXEL detector LVDS Data Path Testing.
Instrumentation DepartmentCCLRC Rutherford Appleton Laboratory28 March 2003 FED Project Plan 2003 FED Project aiming to satisfy 2 demands/timescales: Module.
Xiangming Sun1PXL Sensor and RDO review – 06/23/2010 STAR XIANGMING SUN LAWRENCE BERKELEY NATIONAL LAB Firmware and Software Architecture for PIXEL L.
J. Crooks STFC Rutherford Appleton Laboratory
FPGA firmware of DC5 FEE. Outline List of issue Data loss issue Command error issue (DCM to FEM) Command lost issue (PC with USB connection to GANDALF)
Test Setup for FE-I3 single chips / modules, FE-I4_proto1 and for full scale FE-I4 Marlon Barbero, Bonn.
L. Greiner 1St. Odile CMOS Workshop – September 6-9, 2011 STAR HFT LBNL Leo Greiner, Eric Anderssen, Thorsten Stezelberger, Joe Silber, Xiangming Sun,
Readout for the HFT at STAR. LG - STAR Upgrades Workshop Dec A Stand-alone Heavy Flavor Tracker for STAR Z. Xu Brookhaven National Laboratory,
Sensor testing and validation plans for Phase-1 and Ultimate IPHC_HFT 06/15/ LG1.
CERN, 18 december 2003Coincidence Matrix ASIC PRR Coincidence ASIC modifications E.Petrolo, R.Vari, S.Veneziano INFN-Rome.
MEG trigger system This short presentation describes the present status of the trigger algorithms of the MEG experiment implemented on the Xilinx FPGA.
Leo Greiner IPHC beam test Beam tests at the ALS and RHIC with a Mimostar-2 telescope.
JRA-1 Meeting, Jan 25th 2007 A. Cotta Ramusino, INFN Ferrara 1 EUDRB: A VME-64x based DAQ card for MAPS sensors. STATUS REPORT.
1 MICE Tracker Readout Update Introduction/Overview TriP-t hardware tests AFE IIt firmware development VLSB firmware development Hardware progress Summary.
STAR Pixel Detector readout prototyping status. LBNL-IPHC-06/ LG22 Talk Outline Quick review of requirements and system design Status at last meeting.
ARCHITECTURE. PRR November x 32 PADs Up to 26 or 3 x 17 MANU BOARD. PATCH BUS Translator Board. FEE DETECTOR Up to 100 PATCH BUS per detector. MANU.
TPC electronics Status, Plans, Needs Marcus Larwill April
ALIBAVA system upgrade Ricardo Marco-Hernández IFIC(CSIC-Universidad de Valencia) 1 ALIBAVA system upgrade 16th RD50 Workshop, 31 May-2 June 2010, Barcelona.
Amsterdam, Oct A. Cotta Ramusino, INFN Ferrara 1 EUDRB: status report and plans for interfacing to the IPHC’s M26 Summary: EUDRB developments.
Rutherford Appleton Laboratory September 1999Fifth Workshop on Electronics for LHC Presented by S. Quinton.
DAQ 1000 Tonko Ljubicic, Mike LeVine, Bob Scheetz, John Hammond, Danny Padrazo, Fred Bieser, Jeff Landgraf.
FPGA based signal processing for the LHCb Vertex detector and Silicon Tracker Guido Haefeli EPFL, Lausanne Vertex 2005 November 7-11, 2005 Chuzenji Lake,
Vladimir Zhulanov for BelleII ECL group Budker INP, Novosibirsk INSTR2014, Novosibirsk 2014/02/28 1.
Mu3e Data Acquisition Ideas Dirk Wiedner July /5/20121Dirk Wiedner Mu3e meeting Zurich.
Eric Hazen1 Ethernet Readout With: E. Kearns, J. Raaf, S.X. Wu, others... Eric Hazen Boston University.
ATLAS Pre-Production ROD Status SCT Version
The STAR Heavy Flavor Tracker PXL detector readout electronics
ABC130: DAQ Hardware Status Matt Warren et al. Valencia 3 Feb 2014
Iwaki System Readout Board User’s Guide
Firmware Structure Alireza Kokabi Mohsen Khakzad Friday 9 October 2015
Vertex 2005 November 7-11, 2005 Chuzenji Lake, Nikko, Japan
Example of DAQ Trigger issues for the SoLID experiment
NA61 - Single Computer DAQ !
SKIROC status CERN – CALICE/EUDET electronic & DAQ meeting – 22/03/2007 Presented by Julien Fleury.
PID meeting Mechanical implementation Electronics architecture
Multi Chip Module (MCM) The ALICE Silicon Pixel Detector (SPD)
Preliminary design of the behavior level model of the chip
Presentation transcript:

.1PXL READOUT STAR PXL READOUT requirement and one solution Xiangming Sun

.2PXL READOUT STAR Outline Requirements for event readout mode One solution for Event readout mode

.3PXL READOUT STAR Rrequirement for event readout mode 40 sensors per readout board( Each sensor has 2 LVDS pairs. 80 LVDS pairs in 160MHz). sensor digitizes signal and records hit address in on-chip memory, then transfer hit address serially. trigger is STAR DAQ1000(about 1KHz). DDL working at 40MB/s in average. requirement : mass terminition board xilinx V6 RDO SIU/DDL DAQ PC

.4PXL READOUT STAR Data rate for 1 sector 1 second 1000 triggers 1 second 1000 triggers 3 outer and 1 inner 3X =1000 words 3 outer and 1 inner 3X =1000 words 1 ladder 10 sensors 1 ladder 10 sensors 1000X1000X10=10M words=40 Mbyte/S

.5PXL READOUT STAR What is the data looks like for 1 sensor Data is sent in serial. We have 40 sensors for each sector

.6PXL READOUT STAR Input and output for FPAG for 1 sector 40 sensors:80 channels in 160 MHz Event data block input output 1K Hz trigger

.7PXL READOUT STAR One solution Data is buffered in FPGA Event format Event mode Readout structure for one sector Data modified Readout mechanism exceptions

8PXL READOUT STAR Data is buffered in FPGA DAQ policy: 1 trigger per event DDL peak speed(2Gb/s) is lower than data generation peak speed(12.8Gb/s). TPC can buffer 8 triggers when DDL is not available. PIXEL should buffer >=8 trigges frame1 frame2 frame3 Trigger timeEvent data

.9PXL READOUT STAR Event format 9 For sector header ender Data block For ladder1 Data block For ladder2 Data block For ladder3 Data block For ladder4 Header token Trigger info system status temperature Firmware version Hardware ID ……. 10 words reserved Block startData length … Hit address end token sensor ID is encoded in four unused bits

.10PXL READOUT STAR Ladder#1Ladder#2Ladder#3Ladder#4 Event Builder #1 (head token added) Event Builder #2 Event Builder #3 Event Builder #4 (end token added) Event fifo SIU Event mode Readout structure for one sector

11PXL Sensor and RDO review – 06/23/2010 STAR 11 Data modified

12PXL READOUT STAR 12 chip ID and row token row token chip ID

.13PXL READOUT STAR Event Builder Serial to parallel conv … 10 sensors data memory Trigger time memory start frame memory Builder state machine To eventfifo circular buffer Sensor 1 output1 output2

.14PXL READOUT STAR Serial to parallel converter Serial to parallel conv … 10 sensors data memory Serial-to-parallel converter 1,convert 160MHz 1 bit data to 10MHz 16bit parallel data. 2, add sensor ID into the data. Data memory scans Serial-to-parallel converter one by one every 10 ns(100MHz). It starts from sensor 1 and go back to sensor 1 after reaching sensor 10. From sensor1 From sensor2 From sensor… From sensor10 From sensor… From sensor1 Sensor 1 output1 output2

.15PXL READOUT STAR Circular buffers data memory Trigger time memory start frame memory frame counter when trigger comes index of start frame memory index of data memory for the first frame index of data memory for the second frame 64K words 512 words trigger token and buffer full frame1 frame2

.16PXL READOUT STAR Data check out data memory Trigger time memory start frame memory frame1 frame2 get trigger time and start frame index get buffer index for 2 frames Check out region where hit address bigger than trigger time for frame1 and smaller for frame2 Release unused memory.

17PXL READOUT STAR Buffering capability 19K words/trigger (684kb).( buffer length in chip is assumed to be 500 words and 150 word for inner and outer ladder ). threshold are set in Serial-to-parallel converter to control the max addresses coming from each sensor.

18PXL READOUT STAR exceptions Sensor overflows Data memory overflows Start frame memory overflows Trigger time memory overflows

19PXL READOUT STAR Firmware Architecture Event readout IOdelay Slow control JTAG Ladder interface Main configuration usbddl Jtag to sensor TCD Sensor outputTemperature latchup clk

20PXL READOUT STAR event readout Architecture mg stpc eb trc Mux (40X20)-(40) switch (32X8)-(32) ef SIU marker(1) & frame counter(10) switch (32X2)-(32) 66Mhz sensor output trigger Mg:marker generater Stpc:serial to parallel converter Trc:trigger controller & readout controller Eb:event buffer Lb:ladder buffer Ef:event fifo stpc eb stpc eb Mux (40X20)-(40) switch (32X8)-(32) stpc eb Mhz valid(8) & data(32) valid(1) data(32) eb_busy(1) 200Mhz 10Mhz 200Mhz trigger enable(8) & trigger time(10)

21PXL READOUT STAR Stpc:serial to parallel converter sr tltl time decoder& buffer sr tl trigger time(10) valid data(32) trigger enable(8) trigger enable(1) Sr: shift register Tl: trigger logic

22PXL READOUT STAR trigger controller & readout controller Circular buffer(8 in depth) Trigger trigger enable(8) & trigger time(10) Readout controller Switch control Readout trigger addresstrigger address TCD

23PXL READOUT STAR