EE201C : Stochastic Modeling of FinFET LER and Circuits Optimization based on Stochastic Modeling Shaodi Wang 004033643.

Slides:



Advertisements
Similar presentations
ITRS December 2003, Hsin-Chu Taiwan How Much Variability Can Designers Tolerate? Andrew B. Kahng ITRS Design ITWG December 1, 2003.
Advertisements

University of Toronto Minimization of Delay Sensitivity to Process Induced Vth Variations Georges Nabaa Farid N. Najm University of Toronto
A Fast Estimation of SRAM Failure Rate Using Probability Collectives Fang Gong Electrical Engineering Department, UCLA Collaborators:
Slide 1 Bayesian Model Fusion: Large-Scale Performance Modeling of Analog and Mixed- Signal Circuits by Reusing Early-Stage Data Fa Wang*, Wangyang Zhang*,
VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects Sarangi et al Prateeksha Satyamoorthy CS
2007 MURI Review The Effect of Voltage Fluctuations on the Single Event Transient Response of Deep Submicron Digital Circuits Matthew J. Gadlage 1,2, Ronald.
VLSI Design Lecture 3a: Nonideal Transistors. Outline Transistor I-V Review Nonideal Transistor Behavior Velocity Saturation Channel Length Modulation.
WP2 Review Meeting Milano, Oct 05, /05/ MODERN ENIAC WP2 Meeting WP2 and Tasks review Milano Agrate, 2011 Oct. 05 Meeting hosted by Micron.
0 1 Width-dependent Statistical Leakage Modeling for Random Dopant Induced Threshold Voltage Shift Jie Gu, Sachin Sapatnekar, Chris Kim Department of Electrical.
Introduction to CMOS VLSI Design Lecture 15: Nonideal Transistors David Harris Harvey Mudd College Spring 2004.
1 Closed-Loop Modeling of Power and Temperature Profiles of FPGAs Kanupriya Gulati Sunil P. Khatri Peng Li Department of ECE, Texas A&M University, College.
Introduction to CMOS VLSI Design Lecture 19: Nonideal Transistors
Introduction to CMOS VLSI Design MOS Behavior in DSM.
EE/MAtE1671 Process Variability EE/MatE 167 David Wahlgren Parent.
Subthreshold Logic Energy Minimization with Application- Driven Performance EE241 Final Project Will Biederman Dan Yeager.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 20: Circuit Design Pitfalls Prof. Sherief Reda Division of Engineering,
VLSI Design Lecture 3a: Nonideal Transistors
1 Variability Characterization in FPGAs Brendan Hargreaves 10/05/2006.
Device Sizing Techniques for High Yield Minimum-Energy Subthreshold Circuits Dan Holcomb and Mervin John University of California, Berkeley EE241 Spring.
Analytical 2D Modeling of Sub-100 nm MOSFETs Using Conformal Mapping Techniques Benjamin Iñiguez Universitat Rovira i Virgili (URV), Tarragona, E-43001,
Jan. 2007VLSI Design '071 Statistical Leakage and Timing Optimization for Submicron Process Variation Yuanlin Lu and Vishwani D. Agrawal ECE Dept. Auburn.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 13: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
Fall 06, Sep 14 ELEC / Lecture 5 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits (Formerly ELEC / )
Introduction to CMOS VLSI Design Nonideal Transistors.
Statistical Gate Delay Calculation with Crosstalk Alignment Consideration Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego
Trace-Based Framework for Concurrent Development of Process and FPGA Architecture Considering Process Variation and Reliability 1 Lerong Cheng, 1 Yan Lin,
Statistical Critical Path Selection for Timing Validation Kai Yang, Kwang-Ting Cheng, and Li-C Wang Department of Electrical and Computer Engineering University.
The CMOS Inverter Slides adapted from:
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Advanced Computing and Information Systems laboratory Device Variability Impact on Logic Gate Failure Rates Erin Taylor and José Fortes Department of Electrical.
Effects of Variation on Emerging Devices for Use in SRAM
EE466: VLSI Design Power Dissipation. Outline Motivation to estimate power dissipation Sources of power dissipation Dynamic power dissipation Static power.
MOS Capacitors MOS capacitors are the basic building blocks of CMOS transistors MOS capacitors distill the basic physics of MOS transistors MOS capacitors.
Page 1 Hannes Luyken CPR ND N e v e r s t o p t h i n k i n g. ULIS 2003 Ultimate Integration of Silicon T. Schulz, C. Pacha, R. J. Luyken, M. Städele,
Introduction to FinFet
Sub-threshold Design of Ultra Low Power CMOS Circuits Students: Dmitry Vaysman Alexander Gertsman Supervisors: Prof. Natan Kopeika Prof. Orly Yadid-Pecht.
Jia Yao and Vishwani D. Agrawal Department of Electrical and Computer Engineering Auburn University Auburn, AL 36830, USA Dual-Threshold Design of Sub-Threshold.
The George Washington University School of Engineering and Applied Science Department of Electrical and Computer Engineering ECE122 – Lab 7 MOSFET Parameters.
LOGO Ultralow-Power Design in Near-Threshold Region Prof. : M. Shams Name: Yiqi Chang Student #:
Robust Low Power VLSI ECE 7502 S2015 Minimum Supply Voltage and Very- Low-Voltage Testing ECE 7502 Class Discussion Elena Weinberg Thursday, April 16,
Process Variation Mohammad Sharifkhani. Reading Textbook, Chapter 6 A paper in the reference.
Comparative Analysis of the RF and Noise Performance of Bulk and Single-Gate Ultra-thin SOI MOSFETs by Numerical Simulation M.Alessandrini, S.Eminente,
Basics of Energy & Power Dissipation
Variation-Tolerant Circuits: Circuit Solutions and Techniques Jim Tschanz, Keith Bowman, and Vivek De Microprocessor Technology Lab Intel Corporation,
Variation. 2 Sources of Variation 1.Process (manufacturing) (physical) variations:  Uncertainty in the parameters of fabricated devices and interconnects.
Advance Nano Device Lab. Fundamentals of Modern VLSI Devices 2 nd Edition Yuan Taur and Tak H.Ning 0 Ch4.2 Threshold Voltage.
Suppression of Random Dopant-Induced Threshold Voltage Fluctuations in Sub-0.1μm MOSFET’s with Epitaxial and δ-Doped Channels A. Asenov and S. Saini, IEEE.
Tae- Hyoung Kim, Hanyong Eom, John Keane Presented by Mandeep Singh
Joshua L. Garrett Digital Circuits Design GroupUniversity of California, Berkeley Compact DSM MOS Modeling for Energy/Delay Estimation Joshua Garrett,
UTB SOI for LER/RDF EECS Min Hee Cho. Outline  Introduction  LER (Line Edge Roughness)  RDF (Random Dopant Fluctuation)  Variation  Solution – UTB.
PROCEED: Pareto Optimization-based Circuit-level Evaluation Methodology for Emerging Devices Shaodi Wang, Andrew Pan, Chi-On Chui and Puneet Gupta Department.
Guided by: Prof.J.D.PRADHAN Submitted By: K.Anurag Regn no:
University of Michigan Advanced Computer Architecture Lab. 2 CAD Tools for Variation Tolerance David Blaauw and Kaviraj Chopra University of Michigan.
Smruti R. Sarangi IIT Delhi
Chapter 4b Process Variation Modeling
Alireza Shafaei, Shuang Chen, Yanzhi Wang, and Massoud Pedram
Device Structure & Simulation
INTRODUCTION: MD. SHAFIQUL ISLAM ROLL: REGI:
Challenges in Nanoelectronics: Process Variability
M.S. Thesis Defense Murali Dharan Advisor: Dr. Vishwani D. Agrawal
ELEC 6970: Low Power Design Class Project By: Sachin Dhingra
Circuit Design Techniques for Low Power DSPs
Impact of Parameter Variations on Multi-core chips
A Fully Physical Model for Leakage Distribution under Process Variations in Nanoscale Double-Gate CMOS Liu Cao Lin Li.
Power and Heat Power Power dissipation in CMOS logic arises from the following sources: Dynamic power due to switching current from charging and discharging.
Lecture 4: Nonideal Transistor Theory
Lecture 4: Nonideal Transistor Theory
Parametric Yield Estimation Considering Leakage Variability Rajeev Rao, Anirudh Devgan, David Blaauw, Dennis Sylvester Present by Fengbo Ren Apr. 30.
Beyond Si MOSFETs Part IV.
Dr. Hari Kishore Kakarla ECE
Presentation transcript:

EE201C : Stochastic Modeling of FinFET LER and Circuits Optimization based on Stochastic Modeling Shaodi Wang 004033643

Outline Stochastic modeling of FinFET LER Stochastic modeling based circuits optimization 22nm FinFET circuits optimization Conclusion

Devices Variability Line Edge Roughness (LER) LER is the main variability in FinFET Gate Dielectric Thickness (Tox) Random Dopant Fluctuations (RDF) Metal-gate Work Function (WFV)

Line Edge Roughness Stochastic Consequence of Lithography processing

LER vs. LWR Line width roughness (LWR)

Correlation Resist-defined Spacer-defined

Correlation  

Gate LER and Fin LER

Gate LER Affection Fin LWR affects device performance by changing the average Fin width in the channel region. Gate LWR induce the FG and BG mismatch and offset.

Stochastic modeling of Gate LWR

Stochastic modeling of Gate LWR Resist Forming Gate: Spacer Forming Gate:

Experimental grids are established

Result

Results

Results

Results

Outline Stochastic modeling of FinFET LER Stochastic modeling based circuits optimization 22nm FinFET circuits optimization Conclusion

Stochastic Circuits Optimization Performance vs. scaling Power vs. scaling Leakage Power Dynamic Power

Optimization methodology Stochastic timing analysis Instead of static timing analysis Every path can be critical path Every path has a probity to become a critical path The given yield to constrain the clock frequency

Optimization process

Models: Power Model

Models Delay model

Models Devices model depends on different devices. MOSFET SOI FinFET Devices simulation results Output from Spice, etc. Empirical fitting model

Tolerance modeling Process variation Supply voltage variation LER RDF (Not important for FinFET) Intra-die, inter-die and across-die variation Supply voltage variation Vdd and Ground noise

Tolerance modeling Variation affection Example: Vt shift and follows a Gaussian distribution Delay distribution: One stage delay: N stage path delay: Average Off Current: Assume Ioff follows Gaussian distribution

Tolerance modeling Given a clock period tCK Each path has a delay distribution. Delay over tCK results failure. The total yield: Given the yield and reverse the equation to solve tCK.

Thermal modeling Temperature dependence Subthreshold leakage Mobility model Wire resistance model Heat-sink model

Results

Results

Defects This works contribute the process variation into the Vt variability. However, the device performance variability cannot be easily appropriately modeled by Vt.

Outline Stochastic modeling of FinFET LER Stochastic modeling based circuits optimization 22nm FinFET circuits optimization Conclusion

FinFET variability The FinFET is 22nm technology. The thin channel suppresses short channel effects. Low doping makes RDF affection lower. The restriction of Tox is released. LER become the important process variation. Voltage supply noise is still a problem

FinFET optimization based on Stochastic modeling FinFET Device LER Simulation Principle component analysis on FinFET LER results Fitting model to FinFET behavior Transfer the principle component from device LER to model parameters Circuits performance variability is done based on model

FinFET optimization based on Stochastic modeling FinFET 3-D LER MC simulation is done based on sentaurus TCAD tool. Non-variation current behavior is obtained. ( Works in model fitting) Key parameters are obtained Threshold voltage Saturation voltage Ion current DIBL

FinFET optimization based on Stochastic modeling Principle component analysis performed on device LER results Calculate the covariance matrix of the results of FinFET. Covariance matrix: Calculate the eigen vectors and eigen values Eigen vectors Eigen value These eigen vectors are independent Cov(a1,a1) Cov(a2,a1) Cov(a3,a1) Cov(a4,a1) Cov(a1,a2) Cov(a2,a2) Cov(a3,a2) Cov(a4,a2) Cov(a1,a3) Cov(a2,a3) Cov(a3,a4) Cov(a4,a3) Cov(a1,a4) Cov(a2,a4) Cov(a4,a4)

FinFET optimization based on Stochastic modeling Calculate the sensitive vectors of devices key behavior parameters to model parameters. My model has 9 parameters. This sensitive vectors are the 4 devices key parameters’ derivation to 9 parameters of model Calculate the principle components in emperical model

FinFET optimization based on Stochastic modeling Variability model in my work LER Variation Based on PCA, I used 9 parameters in the model to represent the LER variability. ( Ion, Ioff, Vt, CLM, Vtsat, Vt,lin …) Voltage supply variation I model this into the supply voltage Vdd variability. Across-die, inter-die and intra-die variation I model this by threshold voltage variability All of these 3 variation are independent Model delay variability Based on MC simulation.

FinFET optimization based on Stochastic modeling Optimization process Given the restriction ( Power and Yield) Outer loop : optimized parameters ( Vt, W and etc) Inner loop : optimized parameters (Vdd) In each one of the all loop: 100 samples MC performed to get the distribution of one stage Delay. Based on the given Yield, using iteration to find the chip clock frequency. Calculate the total power, the power must < Power restriction. Choose the fast clock in given Power restriction.

FinFET optimization based on Stochastic modeling Optimization Results Optimized Block : Gate 5000, longest path 22 stages.

Conclusion LER is one of the important variability in FinFET technology. As power restricts devices scaling, circuits optimization becomes an important process to reduce power. FinFET circuits optimization is done by considering LER, supply voltage, process variation.

Reference Kedar Patel, et all, “L. I. Smith. “A Tutorial on Principal Components Analysis”. Cornell University, USA, 2002. ”, IEEE Transactions on Electronics Devices, vol. 56, no. 12, Dec. 2009. L. I. Smith. “A Tutorial on Principal Components Analysis”. Cornell University, USA, 2002. D. J. Frank, et all, “Optimizing CMOS technology for maximum performance” , IBM J. RES & DEV. vol.50, no. 4/5, 2006