45nm Processors & Beyond A Presentation On By Ajaypal Singh Dhillon Kurukshetra university.

Slides:



Advertisements
Similar presentations
DRAFT - NOT FOR PUBLICATION 14 July 2004 – ITRS Summer Conference ITRS FEP Challenges Continued scaling will require the introduction of new materials.
Advertisements

Embedded Systems Design: A Unified Hardware/Software Introduction 1 Chapter 10: IC Technology.
Intel’s Low Power Technology
by Alexander Glavtchev
An International Technology Roadmap for Semiconductors
TO COMPUTERS WITH BASIC CONCEPTS Lecturer: Mohamed-Nur Hussein Abdullahi Hame WEEK 1 M. Sc in CSE (Daffodil International University)
Multi-core systems System Architecture COMP25212 Daniel Goodman Advanced Processor Technologies Group.
BEOL Al & Cu.
Derek Wright Monday, March 7th, 2005
The First Microprocessor By: Mark Tocchet and João Tupinambá.
Logic Process Development at Intel
High-K Dielectrics The Future of Silicon Transistors
ITRS 2003 Front End Processing Challenges David J. Mountain *Gate Stack Leff Control *Memory Cells Dopant Control Contacts *Starting Material FEP Grand.
School of Electrical and Electronic Engineering Queen’s University Belfast, N.Ireland Course Tutor Dr R E Hurley Northern Ireland Semiconductor Research.
Dezső Sima Evolution of Intel’s transistor technology 45 nm – 14 nm October 2014 Vers. 1.0.
The Evolution of the Computer Age
Miniaturizing Computers: Evolution of Processors
Next Generation Integrated Circuits 300 mm wafers Copper metallization Low-K dielectric under interconnect lines High-K dielectric under gate Silicon-on-insulator.
CHIPS & NANOTECHNOLOGY
Moore’s Law No Moore? Presented by: Cutting Edge Homework Development.
Optional Reading: Pierret 4; Hu 3
MonolithIC 3D Inc., Patents Pending MonolithIC 3D ICs RCAT approach 1 MonolithIC 3D Inc., Patents Pending.
Keeping Up with Moore’s Law Who the heck is this Moore guy anyway? Gordon E. Moore was the cofounder of Intel Corporation Gordon E. Moore was the cofounder.
Advanced Process Integration
Multi-core Programming Introduction Topics. Topics General Ideas Moore’s Law Amdahl's Law Processes and Threads Concurrency vs. Parallelism.
1 Provided By: Ali Teymouri Based on article “Jaguar: A Next-Generation Low-Power x86-64 Core ” Coarse: Custom Implementation of DSP Systems University.
Intel’s Penryn Sima Dezső Fall 2007 Version nm quad-core -
® 1 VLSI Design Challenges for Gigascale Integration Shekhar Borkar Intel Corp. October 25, 2005.
Limitations of Digital Computation William Trapanese Richard Wong.
Prospects for High-Aspect-Ratio FinFETs in Low-Power Logic Mark Rodwell, Doron Elias University of California, Santa Barbara 3rd Berkeley Symposium on.
Presented By: RENJITHKUMAR TKMCE KOLLAM. INTRODUCTION Electronics with out silicon is unbelievable, but it will come true with evolution of diamond or.
Hyper Threading Technology. Introduction Hyper-threading is a technology developed by Intel Corporation for it’s Xeon processors with a 533 MHz system.
The End of Conventional Microprocessors Edwin Olson 9/21/2000.
SEMINAR PRESENTATION ON IC FABRICATION PROCESS PREPARED BY: GUIDED BY: VAIBHAV RAJPUT(12BEC102) Dr. USHA MEHTA SOURABH JAIN(12BEC098)
transistor technology
W E L C O M E. T R I G A T E T R A N S I S T O R.
©2008 R. Gupta, UCSD COSMOS Summer 2008 Chips and Chip Making Rajesh K. Gupta Computer Science and Engineering University of California, San Diego.
VLSI INTERCONNECTS IN VLSI DESIGN - PROF. RAKESH K. JHA
ADVANCED HIGH DENSITY INTERCONNECT MATERIALS AND TECHNIQUES DIVYA CHALLA.
Trends in IC technology and design J. Christiansen CERN - EP/MIC
Moore’s Law and Its Future Mark Clements. 15/02/2007EADS 2 This Week – Moore’s Law History of Transistors and circuits The Integrated circuit manufacturing.
The Fate of Silicon Technology: Silicon Transistors Maria Bucukovska Scott Crawford Everett Comfort.
Microprocessor Design Process
MAHARANA PRATAP COLLEGE OF TECHNOLOGY SEMINAR ON- COMPUTER PROCESSOR SUBJECT CODE: CS-307 Branch-CSE Sem- 3 rd SUBMITTED TO SUBMITTED BY.
05-1 Digital Integrated Circuits 05: Advanced Fabrication & Lithography Revision
Guided by: Prof.J.D.PRADHAN Submitted By: K.Anurag Regn no:
Modern Processors.  Desktop processors  Notebook processors  Server and workstation processors  Embedded and communications processors  Internet.
A Seminar presentation on
The Interconnect Delay Bottleneck.
ROADMAP TO NANOMETER.
transistor technology
Prof. Jang-Ung Park (박장웅)
Inc. 32 nm fabrication process and Intel SpeedStep.
20-NM CMOS DESIGN.
by Alexander Glavtchev
TECHNOLOGY TRENDS.
BY SURAJ MENON S7,EEE,61.
Technology advancement in computer architecture
Architecture & Organization 1
EE 4611 INTRODUCTION 21 January 2015 Semiconductor Industry Milestones
Architecture & Organization 1
Chapter 10: IC Technology
transistor technology
VLSI Lay-out Design.
Summary Current density in a signal line was estimated, based on the simple circuit shown in Fig.1. This circuit is scaled down according to ITRS 2003.
Chapter 10: IC Technology
Solutions to Moore’s law and more
Chapter 10: IC Technology
CSE 87 Fall 2007 Chips and Chip Making
Presentation transcript:

45nm Processors & Beyond A Presentation On By Ajaypal Singh Dhillon Kurukshetra university

Contents Introduction Process Features Transistor Process flow 45nm Techniques Intel Penryn features Differences between AMD & INTEL technology Beyond 45nm Conclusions Refrences

Solution Use High-k material as gate dielectric Benefit compared to 65nm technology High-k Vs SiO 2 Benefits Capacitance60% greaterMuch faster transistor Gate dielectric leakage >10% reductionFar cooler

What’s so hard about using High-k Replacing SiO 2 with high-k materials leads to two problems due to interaction with the the polysilicon gate electrode: 1. Charges got traped at gate/dielectric interface Solution: Atomic layer Deposition 2. Phonon scattering- electrons are made less mobile (they slows down)

Solution: use metal gate

45nm techniques Immersion lithography Immersion effectively decreases wavelength by putting water between projection lens and silicon wafer If a fluid of refractive index n fills the space between the lens and the wafer, then the effective wavelength = the vacuum wavelength of the light ÷ by n Shorter effective wavelengths enable smaller features to be patterned Atomic layer Deposition

Intel Penryn features New Intel SSE4 Instructions Larger, Enhanced Intel Advanced Smart Cache High Speed Cores Fast Radix-16 Divider Nearly 400 million transistors in dual core and about 800 millions of transistors in 45nm quad core.

Difference between AMD & Intel Technology Amd uses immersion lithography where as Intel uses dry lithography at 45nm Amd uses Direct connect architecture for its processors where as Intel still happy to stick with FSB. Amd follows “Gate first” approach where as Intel follow “Gate Last”. Though Intel has not disclosed the metal it is using for gate where as it is believe that Amd is cashing on nickel in this prospective.

Beyond 45nm 32nm process after about three years from now. 16nm to arrive in 2018 with gate length 5nm. If gate length approaches 3nm, a chip that contained them would hypothetically overheat itself. 1.5nm is the minimum gate length a transistor can hit. Use carbon nanotubes, HP crossbar switches, vGroove, multiple gate transistors, 3D chips,Spintronics etc.

Conclusions A 45 nm technology is described with –Design rules supporting ~2X improvement in transistor density –193nm dry lithography at critical layers for low cost –8 standard Cu interconnect layers with extensive use of low-k –Thick Metal 9 Cu RDL with polymer ILD High-k + Metal gate transistors implemented for the first time in a high volume manufacturing process –Integrated with 3RDgeneration strained silicon –Achieve record drive currents at low IOFFand tight gate pitch The technology is already in high volume manufacturing –High yields demonstrated on SRAM and 3 microprocessors –High yields demonstrated in two 300mm fabs

Refrences 1. Article “The High-k Solution By Mark T. Bohr, Robert S. Chau, Tahir Ghani, and Kaizad Mistry” Published on October White Paper, Intel core micro architecture ”Introducing the 45nm next generation microarchitecture” October Technical intel paper”A 45 logic technology with high-k +metal gate transistors,strained silicon,9cu interconnect layers,193 dry pattering, 100% Pb free packing” Amd press reports

Thank You