Weak SRAM Cell Fault Model and a DFT Technique Mohammad Sharifkhani, with special thanks to Andrei Pavlov University of Waterloo.

Slides:



Advertisements
Similar presentations
IC TESTING.
Advertisements

Barcelona Forum on Ph.D. Research in Communications, Electronics and Signal Processing 21st October 2010 Soft Errors Hardening Techniques in Nanometer.
A Fast Estimation of SRAM Failure Rate Using Probability Collectives Fang Gong Electrical Engineering Department, UCLA Collaborators:
Tunable Sensors for Process-Aware Voltage Scaling
Robust Low Power VLSI R obust L ow P ower VLSI Sub-threshold Sense Amplifier (SA) Compensation Using Auto-zeroing Circuitry 01/21/2014 Peter Beshay Department.
Slides based on Kewal Saluja
March 23, 2001VLSI Test: Bushnell-Agrawal/Lecture 211 Lecture 21 I DDQ Current Testing n Definition n Faults detected by I DDQ tests n Vector generation.
Microprocessor Reliability
VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects Sarangi et al Prateeksha Satyamoorthy CS
Lecture 11: Sequential Circuit Design. CMOS VLSI DesignCMOS VLSI Design 4th Ed. 11: Sequential Circuits2 Outline  Sequencing  Sequencing Element Design.
Elettronica T A.A Digital Integrated Circuits © Prentice Hall 2003 Inverter CMOS INVERTER.
Introduction to CMOS VLSI Design Lecture 16: Circuit Pitfalls David Harris Harvey Mudd College Spring 2004.
Copyright 2005, Agrawal & BushnellVLSI Test: Lecture 19alt1 Lecture 19alt I DDQ Testing (Alternative for Lectures 21 and 22) n Definition n Faults detected.
Fall 06, Sep 19, 21 ELEC / Lecture 6 1 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic.
Introduction to CMOS VLSI Design Circuit Pitfalls.
SRAM Mohammad Sharifkhani. Effect of Mismatch.
1 A Variation-tolerant Sub- threshold Design Approach Nikhil Jayakumar Sunil P. Khatri. Texas A&M University, College Station, TX.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 20: Circuit Design Pitfalls Prof. Sherief Reda Division of Engineering,
Statistical Crosstalk Aggressor Alignment Aware Interconnect Delay Calculation Supported by NSF & MARCO GSRC Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego.
Introduction to CMOS VLSI Design SRAM/DRAM
Die-Hard SRAM Design Using Per-Column Timing Tracking
Low-Power CMOS SRAM By: Tony Lugo Nhan Tran Adviser: Dr. David Parent.
Chung-Kuan Cheng†, Andrew B. Kahng†‡,
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 22: Material Review Prof. Sherief Reda Division of Engineering, Brown University.
Modern VLSI Design 2e: Chapter 6 Copyright  1998 Prentice Hall PTR Topics n Memories: –ROM; –SRAM; –DRAM. n PLAs.
Statistical Gate Delay Calculation with Crosstalk Alignment Consideration Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego
Lecture 21, Slide 1EECS40, Fall 2004Prof. White Lecture #21 OUTLINE –Sequential logic circuits –Fan-out –Propagation delay –CMOS power consumption Reading:
Robust Low Power VLSI ECE 7502 S2015 Memory Built-in-Self Test (MBIST): Analysis of Resistive-Bridging Defects in SRAM Core-Cells: a Comparative Study.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Advanced Computing and Information Systems laboratory Device Variability Impact on Logic Gate Failure Rates Erin Taylor and José Fortes Department of Electrical.
Carsten Nesgaard Michael A. E. Andersen
Mary Jane Irwin ( ) Modified by Dr. George Engel (SIUE)
MICAS Department of Electrical Engineering (ESAT) Design-In for EMC on digital circuit October 27th, 2005 AID–EMC: Low Emission Digital Circuit Design.
Ratioed Circuits Ratioed circuits use weak pull-up and stronger pull-down networks. The input capacitance is reduced and hence logical effort. Correct.
הפקולטה למדעי ההנדסה Faculty of Engineering Sciences.
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 27: November 14, 2011 Memory Core.
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 24: November 5, 2010 Memory Overview.
A 1-V 15  W High-Precision Temperature Switch D. Schinkel, R.P. de Boer, A.J. Annema and A.J.M. van Tuijl A 1-V 15  W High-Precision Temperature Switch.
Low Power – High Speed MCML Circuits (II)
A Robust Pulse-triggered Flip-Flop and Enhanced Scan Cell Design
XIAOYU HU AANCHAL GUPTA Multi Threshold Technique for High Speed and Low Power Consumption CMOS Circuits.
Test and Test Equipment Joshua Lottich CMPE /23/05.
1 A Cost-effective Substantial- impact-filter Based Method to Tolerate Voltage Emergencies Songjun Pan 1,2, Yu Hu 1, Xing Hu 1,2, and Xiaowei Li 1 1 Key.
Dynamic Data Stability in Low-power SRAM Design Mohammad Sharifkhani, Shah M. Jahinuzzaman and Manoj Sachdev Electrical & Computer Engineering University.
A Low-Power Precomputation-Based Parallel CAM Chi-Sheng Lin, Jui-Chang, Bin-Da Liu IEEE2003.
Content Addressable Memories
Design and Analysis of A Novel 8T SRAM Cell December 14, 2010 Department of Microelectronic Engineering & Centre for Efficiency Oriented Languages University.
Introduction to VLSI Design© Steven P. Levitan 1998 Introduction Properties of Complementary CMOS Gates.
Low-Power BIST (Built-In Self Test) Overview 10/31/2014
In-Place Decomposition for Robustness in FPGA Ju-Yueh Lee, Zhe Feng, and Lei He Electrical Engineering Dept., UCLA Presented by Ju-Yueh Lee Address comments.
EE415 VLSI Design THE INVERTER [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
1 Dual-V cc SRAM Class presentation for Advanced VLSIPresenter:A.Sammak Adopted from: M. Khellah,A 4.2GHz 0.3mm 2 256kb Dual-V CC SRAM Building Block in.
Low Power SRAM VLSI Final Presentation Stephen Durant Ryan Kruba Matt Restivo Voravit Vorapitat.
L i a b l eh kC o m p u t i n gL a b o r a t o r y Modeling TSV Open Defects in 3D-Stacked DRAM Li Jiang †, Liu Yuxi †, Lian Duan ‡, Yuan Xie ‡, and Qiang.
A Novel, Highly SEU Tolerant Digital Circuit Design Approach By: Rajesh Garg Sunil P. Khatri Department of Electrical and Computer Engineering, Texas A&M.
Lecture 11: Sequential Circuit Design
Modeling of Failure Probability and Statistical Design of Spin-Torque Transfer MRAM (STT MRAM) Array for Yield Enhancement Jing Li, Charles Augustine,
QUANTUM COMPUTING: Quantum computing is an attempt to unite Quantum mechanics and information science together to achieve next generation computation.
Alireza Shafaei, Shuang Chen, Yanzhi Wang, and Massoud Pedram
Reactive Clocks with Variability-Tracking Jitter
Reading: Hambley Ch. 7; Rabaey et al. Sec. 5.2
Introduction to CMOS VLSI Design Lecture 10: Sequential Circuits
Pattern Compression for Multiple Fault Models
Analyzing Sub-threshold Bitcell Topologies and the Effects of Assist Methods on SRAM Vmin By: James Boley.
Day 29: November 11, 2013 Memory Core: Part 1
Olivier THOMAS Amara AMARA Andrei VLADIMIRESCU
Day 25: November 7, 2011 Registers
Day 21: October 29, 2010 Registers Dynamic Logic
Combinational Circuit Design
Literature Review A Nondestructive Self-Reference Scheme for Spin-Transfer Torque Random Access Memory (STT-RAM) —— Yiran Chen, et al. Fengbo Ren 09/03/2010.
Presentation transcript:

Weak SRAM Cell Fault Model and a DFT Technique Mohammad Sharifkhani, with special thanks to Andrei Pavlov University of Waterloo

2 Outline Background and motivation  SRAM issues: noise, SNM, weak cells SRAM SNM sensitivity analysis  vs. process variation  vs. non-catastrophic defect resistance  vs. operating conditions Programmable weak SRAM cell fault model DFT for weak cell detection  Detection concept  Implementation Conclusions

3 Static  Process offsets and mismatches  Operating conditions variations Dynamic  Cross-talk  Ripples in power rails   -particles Most of dynamic sources are quasi-static Noise Sources

4 What is SNM? Seevinck et al, JSSC’87 SNM = max static noise, which can be tolerated by an SRAM cell without changing its logical state

5 What is a weak SRAM cell? Let’s consider a standard 6T SRAM cell:

6 What is a weak SRAM cell? Weak cell = a cell with inadequate SNM that can be easily flipped

7 Why Test Weak SRAM Cells? Because weak SRAM cells: Prone to stability faults Manifest reliability problems Can signify defects, which… Escape regular march tests

8 What Does SNM Depend On? Process variation (mismatch / offset):  V TH spread  L EFF, W EFF spread Resistance of non-catastrophic defects:  R BREAK  R BRIDGE Operation conditions:  V BL  V DD  V WL T0CT0C

9 Static Noise Margin as a Function of Process Variation all results for 0.13um technology, read-accessed cell, i.e. V WL =V BL =V DD

10 SNM vs. V TH (Single Transistor) Typical process corner zero V TH deviation Driver  strongest impact, load  weakest impact

11 SNM vs. V TH (Single Transistor) Typical + slow process corners For slow: zero V TH deviation

12 SNM vs. V TH (Single Transistor) Typical + slow + fast process corners For fast: zero V TH deviation

13 SNM vs. V TH (Multiple Transistors) Typical process corner One V TH changes, while some other are biased Strong SNM decline for some V TH combinations (at max asymmetry)

14 SNM vs. L eff and W eff (Single Transistor) SNM=100% for typical geometry Geometry variations – weak impact on SNM (max 7%)

15 Static Noise Margin as a Function of Non-Catastrophic Defect Resistance

16 SNM vs. Break Resistance  R break   SNM SNM vs. gate breaks  weak dependence SNM vs. driver breaks  strong dependence

17 SNM vs. Bridge Resistance  R bridge   SNM SNM vs. R bridge  uniform dependence

18 Static Noise Margin as a Function of Operation Conditions

19 SNM vs. Bit Line Voltage Typical process If V BL >0.8V  SNM=100% If V BL <0.35V  SNM=0% - hard failure (  normal write) If 0.35V 0.8V  SNM linearly 

20 SNM vs. Bit Line Voltage Typical + slow process corners V BL >0.8V  SNM>100% V BL <0.35V  SNM=0% - hard failure (or normal write) 0.35V 0.8V  SNM linearly 

21 SNM vs. Bit Line Voltage Typical + slow + fast process corners V BL >0.8V  SNM<100% V BL <0.35V  SNM=0% - hard failure (or normal write) 0.35V 0.8V  SNM linearly 

22 SNM vs. Global V DD Typical + slow + fast process corners (extreme cases) SNM linearly 

23 SNM vs. Local V DD Local  resistive break in local V DD Typical + slow + fast process corners (extreme DD_LOCAL <0.8V DD_LOCAL >0.8V SNM linearly 

24 SNM vs. Word Line Voltage Typical process Read-accessed SRAM cell (SNM WL =V DD  WL <V TH_ACCESS WL >V TH_ACCESS SNM linearly 

25 SNM vs. Word Line Voltage Typical + slow process corners

26 SNM vs. Word Line Voltage Typical + slow + fast process corners

27 SNM vs. Temperature Weak dependence 10% max (fast ) 2.5% min (slow)

28 Proposed Weak Cell Fault Model and a Programmable DFT Technique

29 Weak cell fault model SNM vs. node- node node-node  [50k ,500k  ] – linear dependence

30 Weak cell fault model Resistor between nodes A and B Which is equivalent to Negative feedback for inverters of an SRAM cell

31 Programmable detection concept

32 Programmable detection V TEST : weak cell flips good cell does not flip

33 Proposed DFT concept Changing of ratio R brings nodes to different potentials Weak cell will flip and will be detected Good cell will retain data

34 Proposed DFT Algorithm 1.Write background ratio of zeroes and ones 2.Normal precharge 3.Enable n word lines 4.Right after that short bit lines 5.Release word lines 6.Release bit lines

35 Proposed DFT Implementation 1.Write background ratio of zeroes and ones 2.Normal precharge 3.Enable n word lines 4.Right after that short bit lines 5.Release word lines 6.Release bit lines

36 Proposed DFT Simulation Results R weak =200k  (~65% SNM) Five “0”, three ”1” Weak cell is detected!

37 Proposed DFT Simulation Results R weak =200k  (~65% SNM) Three “0”, five ”1” Weak cell is not detected

38 Proposed DFT detection capability R weak =100k  - 500k  Five “0”, three ”1” Weak cell flips for R weak <200k 

39 Conclusions Weak SRAM cells can escape march tests  need DFT Cell stability is sensitive to process and operation disturbances Weak cell fault model is essential in developing test techniques Proposed DFT efficiently detects weak SRAM cells, i.e. cells with inadequate SNM