ASIC technology support and foundry services at CERN

Slides:



Advertisements
Similar presentations
Microelectronics User Group Meeting TWEPP 2009, Paris 22/9/2009.
Advertisements

Chip Developments of the Bonn Group Hans Krüger, Bonn University -1-
MICROELETTRONICA Design methodologies Lection 8. Design methodologies (general) Three domains –Behavior –Structural –physic Three levels inside –Architectural.
Microelectronics User Group Meeting TWEPP 2012, Oxford, UK 19/9/2012.
Design Tools, Flows and Library Aspects during the FE-I4 Implementation on Silicon Vladimir Zivkovic National Institute for Subatomic Physics Amsterdam,
Status of 65nm foundry access for Aida June 2013 A. Marchioro CERN/PH-ESE.
65nm CMOS Foundry Services
CNRS – INPG – UJF AIDA Workshop, April 8-9, 2013, Frascati (Roma), Italy CAD Tools for 3D-IC and TSV-based designs Kholdoun TORKI
R. Kluit Electronics Department Nikhef, Amsterdam. Integrated Circuit Design.
High-Quality, Low-Cost IC Fabrication with MOSIS
CAE tools and technology challenges in making deep sub-micron IC designs Kostas Kloukinas CERN, PH-ESE dept. CH1211, Geneve 23 Switzerland ESE Group seminar.
LePIX: monolithic detectors in advanced CMOS International Workshop on Linear Colliders 2010 K. KLOUKINAS, M. CASELLE, W. SNOEYS, A. MARCHIORO CERN CH-1211,
WP1 WP2 WP3 WP4 WP5 COORDINATOR WORK PACKAGE LDR RESEARCHER ACEOLE MID TERM REVIEW CERN 3 RD AUGUST 2010 Michał Bochenek Work Package 3: On-Detector Power.
Access, support and digital libraries for 65nm Sandro Bonacini CERN, PH-ESE dept. CH1211, Geneve 23 Switzerland.
EGRE 427 Advanced Digital Design Figures from Application-Specific Integrated Circuits, Michael John Sebastian Smith, Addison Wesley, 1997 Chapter 4 Programmable.
EUDET Report - MICELEC Activities Design Tools Status.
ASIC Technology Support and Foundry Services at CERN Kostas Kloukinas CERN, PH-ESE dept. CH1211, Geneve 23 Switzerland.
CSE 494: Electronic Design Automation Lecture 2 VLSI Design, Physical Design Automation, Design Styles.
J. Christiansen, CERN - EP/MIC
Process Monitor/TID Characterization Valencia M. Joyner.
ASIC, Customer-Owned Tooling, and Processor Design Nancy Nettleton Manager, VLSI ASIC Device Engineering April 2000 Design Style Myths That Lead EDA Astray.
A.Marchioro - CERN/PH1 Accessing 130 nm CMOS Tech for ILC (Public Version) Oct 2006, Munich A. Marchioro CERN, Div. PH 1211 Geneva 23, Switzerland.
Fully depleted MAPS: Pegasus and MIMOSA 33 Maciej Kachel, Wojciech Duliński PICSEL group, IPHC Strasbourg 1 For low energy X-ray applications.
Foundry Characteristics
ASIC R&D at Fermilab R. Yarema October 30, Long Range Planning Committee2 ASICs are Critical to Most Detector Systems SVX4 – CDF & DO VLPC readout.
Advanced Semiconductor Technologies for SLHC A. Marchioro / PH-ESE.
Common ATLAS/CMS Electronics Workshop March, 2007 W. Dabrowski Atlas ABCNext/SiGe W. Dabrowski Faculty of Physics and Applied Computer Science AGH.
Thin Silicon R&D for LC applications D. Bortoletto Purdue University Status report Hybrid Pixel Detectors for LC.
Pico-Sec Simulation Workshop University of Chicago 12/12/06 Simulating Front-end Electronics and Integration with End-to-end Simulation Fukun Tang Enrico.
Jorgen Christiansen, CERN PH-ESE 1.  Spokes persons and Institute chair elected ◦ SP’s: ATLAS: Maurice Garcia-Sciveres, LBNL CMS: Jorgen Christiansen,
AMS HVCMOS status Raimon Casanova Mohr 14/05/2015.
Update on the Design Implementation Methodology for the 130nm process Microelecronics User Group meeting TWEPP 2010 – Aachen Sandro Bonacini CERN PH/ESE.
Design tools and foundry access service plans for 65 nm technologies
ClicPix ideas and a first specification draft P. Valerio.
RD53 IP WG 1 Jorgen Christiansen / PH-ESE. IP WG agenda General Issues IP block matrix (no recent changes) General schedule Specifications of IP blocks.
BTeV Hybrid Pixels David Christian Fermilab July 10, 2006.
Special Focus Session On CMOS MAPS and 3D Silicon R. Yarema On Behalf of Fermilab Pixel Development Group.
Sensor testing and validation plans for Phase-1 and Ultimate IPHC_HFT 06/15/ LG1.
Microelectronics User Group Meeting TWEPP 2013, Perugia, IT 26/9/2013.
EUDET-MICELEC Status Report October 2007 A. Marchioro / CERN-PH.
MUG meeting Wrap Up A new version of the CMOS8RF (130nm) Mixed Signal Design kit has been developed at CERN and is ready for release.  Based on the foundry.
Motivation for 65nm CMOS technology
ASIC Building Blocks for Tracker Upgrade A. Marchioro / CERN-PH-ESE October, 2009.
CERN MIC Support for HEP Microelectronics Designers A. Marchioro / CERN-PH EUDET Meeting - December 14 th 2005.
Microelectronics User Group Meeting TWEPP 2013, Perugia, IT 26/9/2013.
News on the 130 nm technology support Wojciech BIALAS, Kostas KLOUKINAS CERN, PH-ESE dept. CH1211, Geneve 23 Switzerland.
Microelectronics User’s twepp2008 Topical Workshop on Electronics for Particle Physics Naxos, Greece 16/9/2008.
Microelectronics User Group Meeting TWEPP 2015, Lisbon, Portugal 1/10/2015.
MUX-2010 DISCUSSION : W HAT DO WE NEED NEXT IN HEP.
Jorgen Christiansen, CERN PH-ESE 1.  EPIX ITN proposal did not get requested EU funding ◦ CERN based proposals did very bad this time. ◦ I better not.
R. Kluit Nikhef Amsterdam R. Kluit Nikhef Amsterdam Gossopo3 3 rd Prototype of a front-end chip for 3D MPGD 1/27/20091GOSSIPPO3 prototype.
Microelectronics for HEP A. Marchioro / CERN-PH-ESE.
RD53 1.  Full/large demonstrator chip submission ◦ When: 2016 A.Early 2016: If chip must have been fully demonstrated in test beams for TDRs to be made.
R. Kluit Electronics Department Nikhef, Amsterdam. Integrated Circuit Design.
LPNHE - Serial links for Control in 65nm CMOS technology - 65nm CMOS - Higher density, less material, less power - Enhanced radiation hardness regular.
H. Krüger, , DEPFET Workshop, Heidelberg1 System and DHP Development Module overview Data rates DHP function blocks Module layout Ideas & open questions.
An·ec·dote /ˈanikˌdōt/ Noun A short and amusing or interesting story about a real incident or person LBL - May 31,2013Support for uT at CERN - A. Marchioro1.
1 /28 LePIX – Front End Electronic conference – Bergamo 25 May 2011 – Piero Giubilato LePIX – monolithic detectors in advanced CMOS Collection electrode.
TDC status and to do 1. Status of TDC design 2. List of future activities.
Sensors Pixel dimension 300 x 300 μm2 Standard p-in-n sensors
Access to ASIC design tools and foundry services at CERN for SLHC
Design of the 64-channel ASIC: status
WP microelectronics and interconnections
Access to 65nm Technology through CERN
Upgrade of the ATLAS MDT Front-End Electronics
Peripheral IP blocks per chip “Superstrip”
TK Upgrade report.
Development of the Data Handling Processor DHP
DARE180U Platform Improvements in Release 5.6
Presentation transcript:

ASIC technology support and foundry services at CERN Kostas Kloukinas CERN, PH-ESE dept. CH1211, Geneve 23 Switzerland

Overview of Technologies Foundry services & Technology technical support provided by CERN. CMOS 8RF-LM Low cost technology for Large Digital designs CMOS 8RF-DM Low cost technology for Analog & RF designs BiCMOS 8WL Cost effective technology for Low Power RF designs BiCMOS 8HP High Performance technology for demanding RF designs CMOS 9SF LP/RF High performance technology for dense designs 130nm CMOS 90nm CMOS 65nm (LP) High performance technology for dense designs Mainstream technology CMOS8RF-DM (130nm) Full support: CERN compiled Mixed-Signal design kit Advance technology CMOS9LP/RF (90nm) Limited support: Project specific. Development of a “lightweight” standard cell library is in progress. Future technology (65nm) Plans to evaluate 65 nm processes for SLHC applications. Access to Physical Design Kit. No user support yet. 65nm CMOS 12/7/2011 Kostas.Kloukinas@cern.ch

CMOS8RF: Mixed Signal kit Integrate a Mixed Signal design kit IBM CMOS8RF (130nm) technology Based on the foundry Physical Design Kit (PDK) and The Foundry Standard cell and IO pad libraries Physical Layout views are available. Access to standard cell libraries is legally covered by standing CDAs with the foundry. Development work subcontracted to Cadence, VCAD design services (Velizy, Paris). Close collaboration of CERN - VCAD - IBM VCAD brought in their invaluable expertise on the CAE tools. IBM provided the physical IP blocks and important technical assistance. CERN assisted the development and validated the design kit functionality. Foundry PDK Foundry Standard cell libraries CAE Tools Mixed Signal Design Kit 12/7/2011 Kostas.Kloukinas@cern.ch

CMOS8RF: Mixed Signal Workflows Design Workflows Digital Library PDK Analog & Mixed Signal (AMS) Workflows. Formalize the design work by employing standardized and validated Design Workflows. Formalize the design work across design teams in common projects. Provide a repository with reference design examples, presented in AMS Workshop training sessions. Based on Cadence VCAD “AMS Methodology Kit” Customized by VCAD for the 130nm Mixed Signal Kit Key Technologies CAE Tools ( IC6.1.4 & EDI 9.1) & Design Kit based on OA database Front-End to Back-End design flows Interoperability Use common versions of CAE tools and customized design environment setups for each design project DECM (Design Environment Configuration Manager) VCAD IP solution. 12/7/2011 Kostas.Kloukinas@cern.ch

VCAD AMS Methodology Kit Cadence AMS Methodology Kit Flow Overview 12/7/2011 Kostas.Kloukinas@cern.ch

“Analog on Top” Design Flow Chip Finishing in Virtuoso For big ‘A’ small ‘D’ designs. SOC_Encounter Digital block creation Virtuoso Chip assembly Begin Finish 12/7/2011 Kostas.Kloukinas@cern.ch

“Digital on Top” Design Flow Chip Finishing in SOC_Encounter For big ‘D’ small ‘A’ designs. Customized, fully scripted, digital implementation flow. Virtuoso Analog Block Creation SOC_Encounter Chip Design RTL synthesis Floorplanning & power routing Placement Congestion analysis Logical Equivalence Checking Timing optimization Signoff RC extraction Timing analysis DRC DFM LVS Clock tree synthesis Routing Tape-out Automated task User task Open Access 12/7/2011 Kostas.Kloukinas@cern.ch

User Support and Training Maintenance Distribution of PDK updates. Design Workflow updates and enhancements. Updates for new versions of CAE tools. User Support Technical Support for Design Workflows. Limited to the distributed Design Kit versions, running under the supported versions of the CAE design tools. User Training Training sessions organized by CERN. 12/7/2011 Kostas.Kloukinas@cern.ch

Design Kit Distribution The Mixed Signal Design kit is available to collaborating institutes. Distributed to 25 Institutes and Universities No access fees required. Pay-per-use scheme. A 7% fee is applied on the fabrication cost (prototyping, production). This fee covers part of the design kit maintenance costs. For New Users To acquire the CMOS8RF Mixed Signal Design Kit contact Wojciech.Bialas@cern.ch Bert.Van.Koningsveld@cern.ch Kostas.Kloukinas@cern.ch Establish a CDA with foundry (if not already in place). Granted access to the CERN ASIC support web site. http://cern.ch/asic-support 12/7/2011 Kostas.Kloukinas@cern.ch

Training: AMS Workshops CERN organized a series of Training Workshops: To present the CMOS8RF (130nm) Mixed Signal Kit. To present Analog, Digital and Mixed Signal design Workflows. To introduce the new Platform of CAE Tools. Cadence (VCAD) design services team: Prepared the training lectures and the accompanying documentation Provided engineers to lecture in the courses. 5 days training with lectures and hands-on design exercises Workshop modules based on a realistic Mixed Signal Design Analog & Digital IP block: 8-bit current DAC, I2C slave interface, SRAM Triple Module Redundancy for SEU (Single Event Upset) robustness Training material (scripts, design examples and documentation) made available to participants. 7 organized workshop sessions 70 engineers from CERN and from our collaborating institutes received training. Example Mixed Signal ASIC: “8-bit DAC with I2C serial interface” 12/7/2011 Kostas.Kloukinas@cern.ch

Access to Foundry Services Supported Technologies: IBM CMOS6SF (0.25μm), legacy designs IBM CMOS8RF (130nm), mainstream process IBM CMOS8WL & 8HP (SiGe 130nm) IBM CMOS9LP/RF (90nm) Engineering runs CERN organizes submissions for design prototyping and small volume production directly with the foundry. MPW services: CERN organized MPW runs to help in keeping low the cost of fabricating prototypes and of small-volume production by enabling multiple participants to share production overhead costs. CERN has developed a very good working relationships with the MPW service provider MOSIS as an alternate means to access silicon for prototyping. 12/7/2011 Kostas.Kloukinas@cern.ch

MPW runs with MOSIS CERN is making extensive use of the MOSIS CMOS8RF MPW runs The break-even point for the cost of a CERN MPW and a MOSIS MPW is ~150mm2. Special pricing conditions for the CMOS8RF MPW services MOSIS recognizes the central role of CERN in research and educational activities. 40% cost reduction compared to 2008 prices Waived the 10mm2 minimum order limit per submission CERN appreciates the technical competence and excellent collaborating spirit with MOSIS Convenience of regularly scheduled MPW runs 4 runs per year scheduled every 3 months. Yields: 40 samples (untested) Availability of Packaging services Convenience for accommodating different BEOL metallization options: DM (3 thin - 2 thick – 3 RF) metal stack. LM (6thin – 2 thick) metal stack. C4 pad option for bump bonding. 12/7/2011 Kostas.Kloukinas@cern.ch

CERN Organized runs CERN organized runs on CMOS8RF: Engineering runs 2-16 wafers Production runs Lots of 24 wafers Refabrication of existing designs. Mask respins MPW runs The break-even point for the cost of a CERN MPW and a MOSIS MPW is ~150mm2. The cost is shared among the participants based on silicon area use and any extra options that are not common to all designs. Yields a few hundred samples per design. Can be used for “mini-production” of designs. Turn Around Time: 2~3 wks DRC checking + 2~4 wks fab. queuing + 13-15 wks processing. Technology option: CMOS8RF-DM (3-thin, 2-thick, 3RF BEOL metalization option). Possibility of process split for C4 bump bonding at extra cost. 12/7/2011 Kostas.Kloukinas@cern.ch

CMOS8_MPW1 CERN organized MPW Driven by 3 large area projects. 20 projects in total Total area: 240 mm2 Small designs instantiated twice Cost: 2,000 USD/mm2 Yield: ~200 dies/project More dies on request. Timeline: Submission deadline: May 31st Last Design received: July 6th Tape Out to foundry: July 21st Release to Manufacturing: Aug. 9th Shipping of wafers: Dec. 1st 12/7/2011 Kostas.Kloukinas@cern.ch

MPW activity 2009-2010 CMOS8RF (130nm) CMOS9LP/RF (90nm) 32 designs on 6 MPW runs 5 MOSIS MPW runs 1 CERN organized MPW run 30 designs on 8RF-DM 2 designs on 8RF-LM 277 mm2 total silicon area CMOS8RF-DM (3-2-3) is the dominant metal stack option CMOS9LP/RF (90nm) 9 designs of 40mm2 on 1 MPW CMOS8RF MPW activity for the last 3 years: (number of submitted designs) 12/7/2011 Kostas.Kloukinas@cern.ch

Example Design Gigabit Transceiver ASIC For a Radiation Hard Optical Link Bi-directional operation at 4.8 Gb/s Forward Error Correction to cover burst error effects. Fabricated in 130 nm CMOS technology Packaged in a custom 13 × 13 bump-pad C4 package (168 pin) Area Array IO placement. 12/7/2011 Kostas.Kloukinas@cern.ch

Major Projects DSSC Project for the XFEL Synchrotron Radiation Source First proto with all elements in the pixel, bump test chip (2010 MPW) CBC: CMS Tracker Front-End ASIC First prototype submitted in 2010 MPW. S-Altro: ALICE TPC Readout ASIC NA62 Pixel Gigatracker detector Readout test chip with ON pixel TDC cell Readout test chip with End-Of-Column TDC cell FE-I4: ATLAS PIXEL ‘b-layer upgrade’ Full scale prototype chip, 19x20mm2 (2010Q2 engineering run) 12/7/2011 Kostas.Kloukinas@cern.ch

LePIX: monolithic detectors in advanced CMOS Collaboration between CERN, MIND financed by the Haute Savoie, Alice and CMS groups (INFN Torino, Bari, Padova and IReS Strasbourg), UC Santa Cruz Scope: Develop monolithic pixel detectors integrating readout and detecting elements on moderate resistivity substrates. Reverse bias of up to 100 V to collect signal charge by drift 7 chips submitted in first submission : 4 test matrices, 1 diode for radiation tolerance,1 breakdown test structure,1 transistor test: already submitted once in test submission First project in CMOS9LP/RF (90nm) on special substrates. Requires direct communication links with a number of technology specialist in the foundry. Matrix1 Diode Breakdown test Transistor test Courtesy of Walter.Snoeys@cern.ch 12/7/2011 Kostas.Kloukinas@cern.ch

Thank You 12/7/2011 Kostas.Kloukinas@cern.ch

Fabricating through MOSIS Submission Timeline User submits preliminary layout Call for interest Freeze number of designs “Tape Out” Release to foundry -60 -45 -30 -15 -8 (days) Register new Designs on MOSIS website. MOSIS checks designs and gives feedback to users Administrative procedures. Turn Around Time: ~70 calendar days from release to foundry Number of prototypes: 40 pieces 12/7/2011 Kostas.Kloukinas@cern.ch

Technology Support Services Foundry Physical IP vendors CAE Tools vendors CERN CAE tools & technology support Cadence VCAD design services CERN designers External designers 12/7/2011 Kostas.Kloukinas@cern.ch

Foundry Access Services CERN Foundry Services CERN designers External designers Foundry MOSIS 12/7/2011 Kostas.Kloukinas@cern.ch