Anasim  -fp Power integrity analyzer/optimizer Bottomline Benefits  -fp  -fp Raj Nair, Anasim Corporation Anasim Q3 2010.

Slides:



Advertisements
Similar presentations
RF and AMS Technologies for Wireless Communications Working Group International Technology Roadmap for Semiconductors Radio Frequency and Analog/Mixed-Signal.
Advertisements

Trends and Perspectives in deep-submicron IC design Bram Nauta MESA + Research Institute University of Twente, Enschede, The Netherlands University of.
Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer.
Noise Model for Multiple Segmented Coupled RC Interconnects Andrew B. Kahng, Sudhakar Muddu †, Niranjan A. Pol ‡ and Devendra Vidhani* UCSD CSE and ECE.
Adapted from Digital Integrated Circuits, 2nd Ed. 1 IC Layout.
Lecture 101 Introduction to Energy Storage Elements: The Capacitor.
Optical Interconnects Speeding Up Computing Matt Webb PICTURE HERE.
A device that simulates a noisy power supply environment for a generic component, with the ability to measure its performance. In addition, the device.
ECE201 Lect-171 Capacitors (6.1); Inductors (6.2); LC Combinations (6.3) Dr. Holbert April 5, 2006.
Institute of Digital and Computer Systems 1 Fabio Garzia / Finding Peak Performance in a Process23/06/2015 Chapter 5 Finding Peak Performance in a Process.
Split, 12 December 2005 University of Zagreb Slide 1 Chip level EMC measurements and simulations “Impact of Communications Technology to EMC“, COST 286.
1 High Speed Fully Integrated On-Chip DC/DC Power Converter By Prabal Upadhyaya Sponsor: National Aeronautics and Space Administration.
Microwave Interference Effects on Device,
Optical Interconnects Speeding Up Computing Matt Webb PICTURE HERE.
Lecture 101 Capacitors (5.1); Inductors (5.2); LC Combinations (5.3) Prof. Phillips March 7, 2003.
Noise and Delay Uncertainty Studies for Coupled RC Interconnects Andrew B. Kahng, Sudhakar Muddu † and Devendra Vidhani ‡ UCLA Computer Science Department,
HIGH DENSITY DESIGN COMPONENT SOLUTIONS. Technology Challenges Market Drivers:  Make it smaller  Make it operate faster  Make it more efficient  Make.
Radio-Frequency Effects in Integrated Circuits
2013 DAC Designer/User Track Presentation Inductor Design for Global Resonant Clock Distribution in a 28-nm CMOS Processor Visvesh Sathe 3, Padelis Papadopoulos.
Power Integrity: A Nanoscale VLSI Challenge Raj Nair, Anasim Corporation Oct. 2, 2008.
ESD for the Fabless Semiconductor Company Golden Rules of ESD Due Diligence for Third Party Intellectual Property Golden Rules of ESD Due Diligence for.
EE414 VLSI Design Design Metrics in Design Metrics in VLSI Design [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
Signal Integrity Software, Inc.Electronic Module Description© SiSoft, 2008 Electrical Module Description EMD A new approach to describing packages and.
Switched capacitor DC-DC converter ASICs for the upgraded LHC trackers M. Bochenek 1,2, W. Dąbrowski 2, F. Faccio 1, S. Michelis 1 1. CERN, Conseil Européen.
Modern VLSI Design 4e: Chapter 7 Copyright  2008 Wayne Wolf Topics Global interconnect. Power/ground routing. Clock routing. Floorplanning tips. Off-chip.
CSCI-235 Micro-Computers in Science Hardware Design Part I.
1 Quarterly Technical Report 1 for Pittsburgh Digital Greenhouse Kyusun Choi The Pennsylvania State University Computer Science and Engineering Department.
Research on Analysis and Physical Synthesis Chung-Kuan Cheng CSE Department UC San Diego
Are classical design flows suitable below 0.18  ? ISPD 2001 NEC Electronics Inc. WR0999.ppt-1 Wolfgang Roethig Senior Engineering Manager EDA R&D Group.
Anasim Corporation Technology, Methodology, PI-FP Environment and Examples Raj Nair Sept. 22, 2008.
Limitations of Digital Computation William Trapanese Richard Wong.
Interconnect Focus Center e¯e¯ e¯e¯ e¯e¯ e¯e¯ IWSM 2001Sam, Chandrakasan, and Boning – MIT Variation Issues in On-Chip Optical Clock Distribution S. L.
Active Packaging: Power Management for Nanoprocessors Raj Nair, ComLSI Inc. Presented to the First AZ Nanotechnology Symposium March 16, 2006.
ITRS: RF and Analog/Mixed- Signal Technologies for Wireless Communications Nick Krajewski CMPE /16/2005.
Development of a Multi-Channel Integrated Circuit for Use in Nuclear Physics Experiments Where Particle Identification is Important Michael Hall Southern.
A Power Grid Analysis and Verification Tool Based on a Statistical Prediction Engine M.K. Tsiampas, D. Bountas, P. Merakos, N.E. Evmorfopoulos, S. Bantas.
Guy Lemieux, Mehdi Alimadadi, Samad Sheikhaei, Shahriar Mirabbasi University of British Columbia, Canada Patrick Palmer University of Cambridge, UK SoC.
Electronic Components Circuit/Schematic Symbols. RESISTOR Resistors restrict the flow of electric current, for example a resistor is placed in series.
Continuum Simulation for Power Integrity Analysis Raj Nair Dec. 16, 2010.
An accurate and efficient SSO/SSN simulation methodology for 45 nm LPDDR I/O interface Dr. Souvik Mukherjee, Dr. Rajen Murugan (Texas Instruments Inc.)
ECE201 Lect-281 Capacitors (5.1); Inductors (5.2); Dr. S. M. Goodnick November 7, 2003.
1 Interconnect/Via. 2 Delay of Devices and Interconnect.
DEVICES AND DESIGN : ASIC. DEFINITION Any IC other than a general purpose IC which contains the functionality of thousands of gates is usually called.
Distributed Computation: Circuit Simulation CK Cheng UC San Diego
1 10 th October 2007Luciano Musa Considerations on readout plane IC Area (die size) 1-2 mm 2 /channel Shaping amplifier 0.2 mm 2 ADC0.6 mm 2 (estimate)
Modern VLSI Design 3e: Chapter 7 Copyright  1998, 2002 Prentice Hall PTR Topics n Power/ground routing. n Clock routing. n Floorplanning tips. n Off-chip.
Nanoscale Power Delivery & PI Overview  The Power Integrity (PI) Wall  PI Analysis  Management & Innovation Potential  Publications & Discussion Raj.
VLSI INTERCONNECTS IN VLSI DESIGN - PROF. RAKESH K. JHA
Power Integrity Test and Verification CK Cheng UC San Diego 1.
Signal Integrity Software, Inc.Electronic Module Description© SiSoft, 2008 Electrical Module Description EMD A new approach to describing packages and.
AIDA design review Davide Braga Steve Thomas ASIC Design Group 9 December 2008.
1 Hardware Reliability Margining for the Dark Silicon Era Liangzhen Lai and Puneet Gupta Department of Electrical Engineering University of California,
High Gain Transimpedance Amplifier with Current Mirror Load By: Mohamed Atef Electrical Engineering Department Assiut University Assiut, Egypt.
전자파 연구실 1. Fundamentals. 전자파 연구실 1.1 Frequency and time Passive circuit elements is emphasized in high speed digital design : Wires, PCB, IC- package.
Rapid Power MOSFET Switching US Patent # ComLSI, Inc.
Exploring the Rogue Wave Phenomenon in 3D Power Distribution Networks Xiang Hu 1, Peng Du 2, Chung-Kuan Cheng 2 1 ECE Dept., 2 CSE Dept. University of.
Institute of Applied Microelectronics and Computer Engineering College of Computer Science and Electrical Engineering, University of Rostock Slide 1 Power.
Copyright © 2009, Intel Corporation. All rights reserved. Power Gate Design Optimization and Analysis with Silicon Correlation Results Yong Lee-Kee, Intel.
MICROPROCESSOR DESIGN1 IR/Inductive Drop Introduction One component of every chip is the network of wires used to distribute power from the input power.
Nanoscale Power Delivery & PI
WEBENCH® Coil Designer
A General Purpose Charge Readout Chip for TPC Applications
Fundamentals of Electric Circuits Chapter 5
Electrical Circuits.
Active/3D Packaging Value and Applications
H.O.M.E. Home Organization and Monitoring of Energy
Overview of VLSI 魏凱城 彰化師範大學資工系.
Anasim -fp Power Integrity and Energy aware SoC Floor Planning www
HIGH LEVEL SYNTHESIS.
Applications of GTX Y. Cao, X. Huang, A.B. Kahng, F. Koushanfar, H. Lu, S. Muddu, D. Stroobandt and D. Sylvester Abstract The GTX (GSRC Technology Extrapolation)
Presentation transcript:

Anasim  -fp Power integrity analyzer/optimizer Bottomline Benefits  -fp  -fp Raj Nair, Anasim Corporation Anasim Q3 2010

September 2010Anasim Confidential2 10,000 Inductors + Through abstraction, pi-fp simulates grids of 100x100 bus pairs, or 10,000 inductors or more, and other associated components, without issues typical in spice. Through abstraction, pi-fp simulates grids of 100x100 bus pairs, or 10,000 inductors or more, and other associated components, without issues typical in spice.

September 2010Anasim Confidential3 Speed independent of devices Simulation time is determined only by chip area and spatial accuracy desired. Number of wires, circuit blocks, capacitances, etc. do not affect simulation speed. Simulation time is determined only by chip area and spatial accuracy desired. Number of wires, circuit blocks, capacitances, etc. do not affect simulation speed.

September 2010Anasim Confidential4 Optimization capability Simulation speed permits resource usage DOE for aspects such as power grid wire width, bus pitch, on-die capacitance requirements, etc., as determined by desired power noise (PI) & operating supply level. Simulation speed permits resource usage DOE for aspects such as power grid wire width, bus pitch, on-die capacitance requirements, etc., as determined by desired power noise (PI) & operating supply level.

September 2010Anasim Confidential5 Constraint relaxation pre-synth. Front-end analysis and optimization capability permits relaxation of routing constraints prior to place & route, speeding timing and physical design convergence. Front-end analysis and optimization capability permits relaxation of routing constraints prior to place & route, speeding timing and physical design convergence. Q: What are some of the methodology issues that limit IC layout productivity? A: Having teams with separate front-end and back-end people. You need an automated way to pass constraints for the circuit designer on the front-end to the layout designer on the back-end. Even floorplanning constraints can be set by the circuit designer. From:

September 2010Anasim Confidential6 True Electromagnetic Simulator  -fp captures true on-chip/system noise 9 x 7mm chip 5nF /sq. cm distributed CAP 100mA peak noise pulse of 100ps width Power grid simulation Explicit CAP LENS Pulse noise source Differential noise R+L+C Dynamic Noise Simulation in  -fp Animation slide

September 2010Anasim Confidential7 Backup

September 2010Anasim Confidential8 Advanced SiP Solutions Analysis Near load systems Near load systems Active Noise Regulator* Active Noise Regulator* Distributed Local Voltage Regulators Distributed Local Voltage Regulators Integrated Solutions Integrated Solutions On-Chip Dynamic Voltage Scaling (DVS) On-Chip Dynamic Voltage Scaling (DVS) Energy Management in Package (EMP) Energy Management in Package (EMP) Stacked power conversion silicon layer Stacked power conversion silicon layer Chip power grid noise ANR attached to top left corner of grid Reference: * Nair & Bennett, ComLSIComLSI Power Management Designline article Intel® CMOS Regulator chip Animation slide