경종민 Low-Power Design for Embedded Processor.

Slides:



Advertisements
Similar presentations
ECE555 Lecture 5 Nam Sung Kim University of Wisconsin – Madison
Advertisements

CSET 4650 Field Programmable Logic Devices
Keeping Hot Chips Cool Ruchir Puri, Leon Stok, Subhrajit Bhattacharya IBM T.J. Watson Research Center Yorktown Heights, NY Circuits R-US.
CP208 Digital Electronics Class Lecture 11 May 13, 2009.
Power Reduction Techniques For Microprocessor Systems
Elettronica T A.A Digital Integrated Circuits © Prentice Hall 2003 Inverter CMOS INVERTER.
L 18 : Circuit Level Design 성균관대학교 조 준 동 교수
A Look at Chapter 4: Circuit Characterization and Performance Estimation Knowing the source of delays in CMOS gates and being able to estimate them efficiently.
Synchronous Digital Design Methodology and Guidelines
Introduction to CMOS VLSI Design Lecture 18: Design for Low Power David Harris Harvey Mudd College Spring 2004.
Designing Combinational Logic Circuits: Part2 Alternative Logic Forms:
S. Reda EN160 SP’08 Design and Implementation of VLSI Systems (EN1600) Lecture 14: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
Lecture #24 Gates to circuits
Towards An Efficient Low Frequency Energy Recovery Dynamic Logic Sujay Phadke Advanced Computer Architecture Lab Department of Electrical Engineering and.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 13: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
Lecture 5 – Power Prof. Luke Theogarajan
Lecture 7: Power.
Power-Aware Computing 101 CS 771 – Optimizing Compilers Fall 2005 – Lecture 22.
Mahapatra-Texas A&M-Spring'021 Power Issues with Embedded Systems Rabi Mahapatra Computer Science.
Lecture 21, Slide 1EECS40, Fall 2004Prof. White Lecture #21 OUTLINE –Sequential logic circuits –Fan-out –Propagation delay –CMOS power consumption Reading:
Low Power Design of Integrated Systems Assoc. Prof. Dimitrios Soudris
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Power, Energy and Delay Static CMOS is an attractive design style because of its good noise margins, ideal voltage transfer characteristics, full logic.
The CMOS Inverter Slides adapted from:
Digital Integrated Circuits for Communication
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
© 2012 Eric Pop, UIUCECE 340: Semiconductor Electronics ECE 340 Lecture 35 MOS Field-Effect Transistor (MOSFET) The MOSFET is an MOS capacitor with Source/Drain.
6.893: Advanced VLSI Computer Architecture, September 28, 2000, Lecture 4, Slide 1. © Krste Asanovic Krste Asanovic
EE466: VLSI Design Power Dissipation. Outline Motivation to estimate power dissipation Sources of power dissipation Dynamic power dissipation Static power.
IPC Digital Circuits Digital circuits are composed of electronic components that can provide state information (1 or 0), as a function of.
1 Delay Estimation Most digital designs have multiple data paths some of which are not critical. The critical path is defined as the path the offers the.
Gheorghe M. Ştefan
ENGG 6090 Topic Review1 How to reduce the power dissipation? Switching Activity Switched Capacitance Voltage Scaling.
MOS Transistors The gate material of Metal Oxide Semiconductor Field Effect Transistors was original made of metal hence the name. Present day devices’
Low-Power CMOS Logic Circuit Topic Review 1 Part I: Overview (Shaw) Part II: (Vincent) Low-Power Design Through Voltage Scaling Estimation and Optimization.
17 Sep 2002Embedded Seminar2 Outline The Big Picture Who’s got the Power? What’s in the bag of tricks?
Low Power Techniques in Processor Design
1 VLSI Design SMD154 LOW-POWER DESIGN Magnus Eriksson & Simon Olsson.
EE415 VLSI Design DYNAMIC LOGIC [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
Low-Power Wireless Sensor Networks
Logic Synthesis For Low Power CMOS Digital Design.
A Class Presentation for VLSI Course by : Fatemeh Refan Based on the work Leakage Power Analysis and Comparison of Deep Submicron Logic Gates Geoff Merrett.
Logic Synthesis for Low Power(CHAPTER 6) 6.1 Introduction 6.2 Power Estimation Techniques 6.3 Power Minimization Techniques 6.4 Summary.
1 Power Dissipation in CMOS Two Components contribute to the power dissipation: »Static Power Dissipation –Leakage current –Sub-threshold current »Dynamic.
Basics of Energy & Power Dissipation Lecture notes S. Yalamanchili, S. Mukhopadhyay. A. Chowdhary.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Chapter 07 Electronic Analysis of CMOS Logic Gates
FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Topics n Latches and flip-flops. n RAMs and ROMs.
Chapter 4 Logic Families.
DCSL & LVDCSL: A High Fan-in, High Performance Differential Current Switch Logic Families Dinesh Somasekhaar, Kaushik Roy Presented by Hazem Awad.
Lecture 10: Circuit Families. CMOS VLSI DesignCMOS VLSI Design 4th Ed. 10: Circuit Families2 Outline  Pseudo-nMOS Logic  Dynamic Logic  Pass Transistor.
Leakage reduction techniques Three major leakage current components 1. Gate leakage ; ~ Vdd 4 2. Subthreshold ; ~ Vdd 3 3. P/N junction.
Basics of Energy & Power Dissipation
FPGA-Based System Design: Chapter 2 Copyright  2004 Prentice Hall PTR Topics n Logic gate delay. n Logic gate power consumption. n Driving large loads.
FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Topics n Low power design. n Pipelining.
EE141 © Digital Integrated Circuits 2nd Combinational Circuits 1 A few notes for your design  Finger and multiplier in schematic design  Parametric analysis.
Modern VLSI Design 3e: Chapter 3 Copyright  1998, 2002 Prentice Hall PTR Topics n Electrical properties of static combinational gates: –transfer characteristics;
EE Electronics Circuit Design Digital Logic Gates 14.2nMOS Logic Families 14.3Dynamic MOS Logic Families 14.4CMOS Logic Families 14.5TTL Logic.
Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 6.1 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng.
Dynamic Logic.
EE141 Combinational Circuits 1 Chapter 6 (I) Designing Combinational Logic Circuits Dynamic CMOS LogicDynamic CMOS Logic V1.0 5/4/2003.
EE 466/586 VLSI Design Partha Pande School of EECS Washington State University
LOW POWER DESIGN METHODS
COE 360 Principles of VLSI Design Delay. 2 Definitions.
Power-Optimal Pipelining in Deep Submicron Technology
LOW POWER DESIGN METHODS V.ANANDI ASST.PROF,E&C MSRIT,BANGALORE.
SECTIONS 1-7 By Astha Chawla
Reading: Hambley Ch. 7; Rabaey et al. Sec. 5.2
Lecture 7: Power.
Lecture 7: Power.
Presentation transcript:

경종민 Low-Power Design for Embedded Processor

Minimization of power consumption in portable and battery-powered embedded systems has become an important aspect of processor and system design. Opportunities for design tradeoffs emphasizing low power are available across the entire spectrum of the overall design process. –From algorithm selection to silicon process technology –Generally, the higher the level of abstraction, the greater the opportunity for power savings.

Power Dissipation in CMOS Circuits Power dissipated in CMOS circuits –P switching and P shortcircuit are present when a device is actively changing state, while the component P static and P leakage are present regardless of state changes

Power Dissipation in CMOS Circuits P switching : power required to charge or switch capacitive load –Reduction of supply voltage is an obvious candidate technique for power reduction Performance reduced as V dd is lowered due to reduced saturation current to charge and discharge load capacitance Energy-delay product is minimized when V dd is equal to 2*V threshold However, as V threashold decreases, leakage current increases exponentially * A.Bellaur and M.I. Elmasry, Low Power Digital CMOS Design: Circuits and Systems, Kluwer 1996

Power Dissipation in CMOS Circuits P shortcircuit : power consumed during output transitions of CMOS gates as the input switches –I mean represents the average current drawn during the input transistion –For a single gate, I mean becomes smaller with shorter input rise and fall times, and with long output transition –When a set of gates is considered, it is generally optimal to have equal input and output transition times P static : static power consumed by the deice –not usually a factor in pure CMOS designs, since static current is not drawn by a CMOS gate –But certain circuit structures contribute to overall power E.g, sense amplifiers, voltage reference and constant current sources

Power Dissipation in CMOS Circuits P leakage : leakage power consumed by the device –Due to leakage currents from reversed biased PN junctions associated with source and drain of MOS transistors, as well as subthreshold conduction currents. –The leakage components proportional to device area and exponentially dependent on temperature –The subthreshold leakage component is strongly dependent on device threshold voltages, and becomes an important factor as power supply voltage scaling is used to lower power –For systems with a high ratio of standby operation to active operation, P leakage may be the dominant factor

Design Techniques for Power Reduction - Algorithmic Selection of an algorithm is generally based on details of an underlying implementation –Cost of addition versus a logical operation –Cost of memory access –Locality of references –Presence of cache memory Loop unrolling is also of benefit, as it results in minimized loop overhead Number representations offer another area for algorithmic power tradeoffs. –E.g., fixed-point or floating-point, signed-magnitude or two’s complement Operator precision, or bit length –Trade off between power and accuracy

Design Techniques for Power Reduction - Architectural Instruction set design and exploitation of parallelism and pipelining are important Architecture-driven voltage scaling –Lowering supply voltage to reduce power consumption –Apply parallelism and/or pipelining to maintain throughput –Useful if enough parallelism exists but latency and area overhead increases Low-power designs tend to avoid deep pipelining –unless the amount of speculation is limited, the overhead for speculation is low, and accuracy of speculation is high

Design Techniques for Power Reduction - Architectural Instruction set can have a large effect on power dissipation as well as performance –By careful selection of instruction semantics and immediate/displacement widths, the size of code can be reduced to 70% Utilizing cache memory –Given that accessing the next level of the memory hierarchy can result in 20x greater power consumption, the reduction in miss rate due to doubling cache size is significant.

Design Techniques for Power Reduction - Logic and Circuit Level Many techniques for power reduction are available at the logic and circuit level –Most focus on reducing the effective switched capacitance(C eff ) –Others focus on reduced signal swing, thus avoiding the quadratic dependence on supply voltage Static and dynamic (clocked) logic families are both utilized in CMOS designs. –Depending on signal probabilities, one or the other may offer reduced C eff

Design Techniques for Power Reduction - Logic and Circuit Level Logic restructuring for glitch elimination –Static logic may suffer form hazards (or glitches) that result in unnecessary power consumption –Logic restructuring and path delay balancing may be used to reduce glitch power A. Raghunathan, et al., “Register transfer level power optimization with emphasis on glitch analysis and reduction,” TCAD 1999 Restructured logic to remove hazardHazard Generation

Design Techniques for Power Reduction - Logic and Circuit Level Equivalent logic mappings with different power cost –Transition probabilities of the logic being mapped are used in conjunction with loading models of the library elements –Select a mapping of the desired Boolean function onto a set of gates which minimized power V. Tiwari et al., “Technology mapping for low power,” DAC 1993 p is the transition probability of a node in a dynamic logic = probability of the output being 0

Design Techniques for Power Reduction - Logic and Circuit Level Input and transistor reordering affect –the amount of switched internal capacitance of the gate, –the speed of the gate –The static power dissipation Inputs signals with high probability of being off are placed nearest the output node W.-Z. Shen et al., “Transistor reordering rules for power reduction in CMOS gates,” ASP-DAC 1995 When, P A (1) > P B (1) > P C (1)

Design Techniques for Power Reduction - Logic and Circuit Level Clock power reduction –Clock power reduction is important in synchronous systems Can contribute to a large portion of the overall power budget 30%-40% of total system power is consumed by clock generation and distribution –Clock distribution optimization –Clock gating –Low-swing clocking techniques

Design Techniques for Power Reduction - Logic and Circuit Level Clock distribution –Efficient distribution and gating mechanisms are essential –Example: two-level clock distribution network

Design Techniques for Power Reduction - Logic and Circuit Level Gated clocking –Commonly applied techniques –Gating off of clock signals to registers, latches and clock regenerators When there is no required activity to be performed –May be applied at the function unit level or entire subsystems –Overhead associated with generation of the enable signal must be considered

Design Techniques for Power Reduction - Logic and Circuit Level Reduced swing clocking –Reducing clock driver supply voltage by 50% and providing specially designed flip-flops Theoretical power saving of 75% and reported savings of 63% Increases flip-flop delay by > 2x Differential clock signaling –Allows the clock swing to be reduced well below 50% of V dd Typically consumes static power With 0.2xV dd swing, theoretical saving in the clock network is 60% H. Kojima et al. “Half-swing clocking scheme for 75% power saving in clocking circuitry,” JSSC 1995 J.-C. Kim, “A high-speed 50% power saving half-swing clocking scheme for flip-flop with complementary gate and source drive,” ICVC 1999

Design Techniques for Power Reduction - Logic and Circuit Level Precomputation structure –Minimizes switching activity by selectively precomputing the output values of a logic circuit before they are required –And then using the computed values to minimize switching activity by disabling inputs –Reported power saving is 11%-66% Subset of terms which makes F = 1 Subset of terms which makes F = 0

Design Techniques for Power Reduction - Device Technology Threshold voltage selection –Plays an important role in the tradeoff between performance and leakage power Silicon on Insulator(SOI) –Attractive due to lowered parasitic capacitance and reduced body effect Dual device threshold technologies –Also an approach to lowering power consumption High-threshold devices for non-critical delay paths Low-threshold devices for speed-critical paths –Minimizing standby power consumption Well biasing –Raises the threshold of all devices –Reduces standby power

Standby Power Reduction

Standby Power Modes

Hitachi SH4 Substrate Bias Technique

Intel XScale Leakage Control

Intel XScale Power Modes

Super Cut-off CMOS (SCCMOS)

Delay Comparison (Inverter & NAND)

Structural and Dynamic Techniques

Voltage Scaling

Dual-V TH Concept