Ch 5. Logic Design with MSI Components. Csci 2021 Srping02 2 VHDL The U.S. Department of Defense (DoD) supported the development of VHDL (VHSIC hardware.

Slides:



Advertisements
Similar presentations
Sistemas Digitais I LESI - 2º ano Lesson 1 - Introduction U NIVERSIDADE DO M INHO E SCOLA DE E NGENHARIA Prof. João Miguel Fernandes
Advertisements

EE 261 – Introduction to Logic Circuits
Modular Combinational Logic
EELE 367 – Logic Design Module 4 – Combinational Logic Design with VHDL Agenda 1.Decoders/Encoders 2.Multiplexers/Demultiplexers 3.Tri-State Buffers 4.Comparators.
التصميم المنطقي Second Course
Instructor: Yuzhuang Hu Course Website The first lab is ready. The first assignment will be released.
Give qualifications of instructors: DAP
TDC 311 Digital Logic. Truth Tables  AND  OR  NOT  NAND  NOR  XOR  XNOR.
EE 367 – Logic Design Lecture #17
CSET 4650 Field Programmable Logic Devices Dan Solarek VHDL Behavioral & Structural.
Counting with Sequential Logic Experiment 8. Experiment 7 Questions 1. Determine the propagation delay (in number of gates) from each input to each output.
Chapter 12 Digital Logic Circuit Copyright © The McGraw-Hill Companies, Inc. Permission required for reproduction or display.
GOOD MORNING.
Boolean Algebra and Digital Logic
Data Flow Modeling of Combinational Logic Simple Testbenches
Dept. of Computer Science Engineering Islamic Azad University of Mashhad 1 DIGITAL LOGIC CIRCUITS Dept. of Computer Science Engineering Islamic Azad University.
Eng. Mohammed Timraz Electronics & Communication Engineer University of Palestine Faculty of Engineering and Urban planning Software Engineering Department.
VHDL TUTORIAL Preetha Thulasiraman ECE 223 Winter 2007.
The Digital Logic Level
Documentation Standards Circuit specification. –Description of what the system is supposed to do, including a description of all inputs and outputs and.
1 DIGITAL ELECTRONICS. 2 OVERVIEW –electronic circuits capable of carrying out logical (boolean) and arithmetic operations on information stored as binary.
L26 – Datapath ALU implementation
ACOE361 – Digital Systems Design. Useful information Instructor: Lecturer K. Tatas Office hours: Mo5, Tu3, We6-8, Fri5 Prerequisites: ACOE201 (ACOE161)
CWRU EECS 317 EECS 317 Computer Design LECTURE 1: The VHDL Adder Instructor: Francis G. Wolff Case Western Reserve University.
L12 – VHDL Overview. VHDL Overview  HDL history and background  HDL CAD systems  HDL view of design  Low level HDL examples  Ref: text Unit 10, 17,
Fall 2004EE 3563 Digital Systems Design EE 3563 VHSIC Hardware Description Language  Required Reading: –These Slides –VHDL Tutorial  Very High Speed.
Anurag Dwivedi. Basic Block - Gates Gates -> Flip Flops.
Design Methodology Based on VHDL Instructors: Fu-Chiung Cheng ( 鄭福炯 ) Associate Professor Computer Science & Engineering Tatung University.
Electrical and Computer Engineering University of Cyprus LAB 1: VHDL.
ECE 331 – Digital System Design Single-bit Adder Circuits and Adder Circuits in VHDL (Lecture #11) The slides included herein were taken from the materials.
M. Balakrishnan Dept of Computer Science & Engg. I.I.T. Delhi
(1) Basic Language Concepts © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
CEC 220 Digital Circuit Design More VHDL Fri, February 27 CEC 220 Digital Circuit Design Slide 1 of 15.
CEC 220 Digital Circuit Design Introduction to VHDL Wed, February 25 CEC 220 Digital Circuit Design Slide 1 of 19.
Introduction to ASIC flow and Verilog HDL
04/26/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Introduction to VHDL 12.2: VHDL versus Verilog (Separate File)
Data Flow Modeling in VHDL
Apr. 3, 2000Systems Architecture I1 Introduction to VHDL (CS 570) Jeremy R. Johnson Wed. Nov. 8, 2000.
1 Multiplexers (Data Selectors) A multiplexer (MUX) is a device that allows several low-speed signals to be sent over one high-speed output line. “Select.
May 9, 2001Systems Architecture I1 Systems Architecture I (CS ) Lab 5: Introduction to VHDL Jeremy R. Johnson May 9, 2001.
Digital Logic Design Basics Combinational Circuits Sequential Circuits Pu-Jen Cheng Adapted from the slides prepared by S. Dandamudi for the book, Fundamentals.
Unit 4 Structural Descriptions SYLLABUS Highlights of Structural descriptions Organization of the Structural descriptions Binding State Machines Generate(HDL),Generic(VHDL),
CEC 220 Digital Circuit Design Introduction to VHDL Wed, Oct 14 CEC 220 Digital Circuit Design Slide 1 of 19.
Lecture #17 Page 1 ECE 4110–5110 Digital System Design Lecture #17 Agenda 1.MSI Multiplexers 2.MSI Encoders Announcements Test 1 closed book, Wednesday.
Explain Half Adder and Full Adder with Truth Table.
LOGIC CIRCUITLOGIC CIRCUIT. Goal To understand how digital a computer can work, at the lowest level. To understand what is possible and the limitations.
1 Computer Architecture & Assembly Language Spring 2009 Dr. Richard Spillman Lecture 11 – ALU Design.
ECE 3130 Digital Electronics and Design
Basic Language Concepts
Systems Architecture Lab: Introduction to VHDL
Computer Architecture & Operations I
ECE 4110–5110 Digital System Design
Computer Architecture & Operations I
Basics of digital systems
ECE 448 Lecture 3 Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic ECE 448 – FPGA and ASIC Design with VHDL.
Digital Design Fundamentals
Hardware Descriptive Languages these notes are taken from Mano’s book
ASIC 120: Digital Systems and Standard-Cell ASIC Design
Combinatorial Logic Design Practices
CHAPTER 17 VHDL FOR SEQUENTIAL LOGIC
VHDL VHSIC Hardware Description Language VHSIC
Data Flow Modeling of Combinational Logic
Hardware Descriptive Languages these notes are taken from Mano’s book
ECE 448 Lecture 3 Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic ECE 448 – FPGA and ASIC Design with VHDL.
XOR, XNOR, and Binary Adders
© Copyright Joanne DeGroat, ECE, OSU
Chapter 10 Introduction to VHDL
VHDL - Introduction.
Unit IV Adders Subtractors Flip Flops Counters Multiplexes and De multiplexes. Integrated circuits-Op. amp – Characteristics Inverting amplifier - Non-inverting.
Presentation transcript:

Ch 5. Logic Design with MSI Components

Csci 2021 Srping02 2 VHDL The U.S. Department of Defense (DoD) supported the development of VHDL (VHSIC hardware description language) as part of the VHSIC (very high-speed IC) program in the early 1980s. The companies in the VHSIC program found they needed something more than schematic entry to describe large ASICs, and proposed the creation of a hardware description language. VHDL was then handed over to the Institute of Electrical and Electronics Engineers (IEEE) in order to develop and approve the IEEE Standard As part of its standardization process the DoD has specified the use of VHDL as the documentation, simulation, and verification medium for ASICs (MIL-STD-454). Partly for this reason VHDL has gained rapid acceptance, initially for description and documentation, and then for design entry, simulation, and synthesis as well.1 The first revision of the 1076 standard was approved in References to the VHDL Language Reference Manual (LRM) in this chapter--[VHDL 87LRM2.1, 93LRM2.2] for example--point to the 1987 and 1993 versions of the LRM [IEEE, and ]. The prefixes 87 and 93 are omitted if the references are the same in both editions. Technically (known as VHDL-87) is now obsolete and replaced by (known as VHDL-93). Except for code that is marked 'VHDL-93 only' the examples in this chapter can be analyzed (the VHDL word for "compiled") and simulated using both VHDL- 87 and VHDL-93 systems. 93LRM2.2

Csci 2021 Srping02 3

4

5

6

7 Logic Gates and Symbols a b F a b F a F F = a b F = a + bF = ! a AndOr Not ABF ABF AF 01 10

Csci 2021 Srping02 8

9

10

Csci 2021 Srping02 11 Logic Equation Representation: Sum-of-Products (SOP) SOP form: A collection of ANDed variables are Ored together. Example: ABF F = !A!B + AB Also called XNOR

Csci 2021 Srping02 12 Example of SOP Example: A three-input majority function The function is true when more than half of its inputs are true F =? ABCF

Csci 2021 Srping02 13 Example of SOP Example: A three-input majority function The function is true when more than half of its inputs are true F = !ABC+A!BC+AB!C+ABC ABCF

Csci 2021 Srping02 14

Csci 2021 Srping02 15 Digital Components High level digital designs are usually made using collections of logic gates. Such collection of gates are referred as components. Multiplexer and Decoder are commonly used digital components. Levels of integration SSI (Small Scale Integration) components per chip MSI (Medium Scale Integration) 100-1,000 components per chip LSI (Large Scale Integration) ,000 components per chip VLSI (Very Large Scale Integration) – Higher ULSI (Ultra Large Scale Integration) – Higher, higher!

Csci 2021 Srping02 16

Csci 2021 Srping02 17

Csci 2021 Srping02 18 Example: using MUX for Majority

Csci 2021 Srping02 19 An 8-bit multiplexer entity Mux8 is generic (TPD : TIME := 1 ns); port (A, B : in BIT_VECTOR (7 downto 0); Sel : in BIT := '0'; Y : out BIT_VECTOR (7 downto 0)); end; architecture Behave of Mux8 is Begin Y <= A after TPD when Sel = '1' else B after TPD; end; Eight 2:1 MUXs with single select input. Timing: TPD (input to Y) = 1 ns

Csci 2021 Srping02 20

Csci 2021 Srping02 21

Csci 2021 Srping02 22 Example: Using Decoder for Majority

Csci 2021 Srping02 23

Csci 2021 Srping02 24

Csci 2021 Srping02 25

Csci 2021 Srping02 26

Csci 2021 Srping02 27 Carry-InABSumCarry-out Sum = A’BC’ + AB’C’ + A’B’C + ABC Carry-out = ABC’ + A’BC + AB’C + ABC

Csci 2021 Srping02 28 A full adder Entity Full_Adder is generic (TS : TIME := 0.11 ns; TC : TIME := 0.1 ns); port (X, Y, Cin: in BIT; Cout, Sum: out BIT); end Full_Adder; architecture Behave of Full_Adder is begin Sum <= X xor Y xor Cin after TS; Cout <= (X and Y) or (X and Cin) or (Y and Cin) after TC; end; Timing: TS (Input to Sum) = ns TC (Input to Cout) = 0.1 ns

Csci 2021 Srping02 29 An 8-bit ripple-carry adder entity Adder8 is port (A, B: in BIT_VECTOR(7 downto 0); Cin: in BIT; Cout: out BIT; Sum: out BIT_VECTOR(7 downto 0)); end Adder8; architecture Structure of Adder8 is component Full_Adder port (X, Y, Cin: in BIT; Cout, Sum: out BIT); end component; signal C: BIT_VECTOR(7 downto 0); begin Stages: for i in 7 downto 0 generate LowBit: if i = 0 generate FA:Full_Adder port map (A(0),B(0),Cin,C(0),Sum(0)); end generate; OtherBits: if i /= 0 generate FA:Full_Adder port map (A(i),B(i),C(i-1),C(i),Sum(i)); end generate; Cout <= C(7); end;

Csci 2021 Srping02 30 The single input line into each AND gate represents 6 input lines The single input line into each OR gate represents 8 lines Darkened circles are placed at crosspoints to indicate connections are made

Csci 2021 Srping02 31

Csci 2021 Srping02 32

Csci 2021 Srping02 33

Csci 2021 Srping02 34 When A,B,C all changed from 0 to 1, there will Be a glitch.

Csci 2021 Srping02 35 Flip-Flop A Flip-flop is an arrangement of logic gates that maintains a stable output even after the inputs are made inactive. A flip flop can be used to store a single bit of information. A S-R flip flop holds a single bit of information and serve as an elementary memory cell. In order to achieve synchronization in a controlled fashion, a clock signal is provided. Every state-dependent circuit synchronizes itself by accepting inputs only at discrete times.

Csci 2021 Srping02 36

Csci 2021 Srping02 37

Csci 2021 Srping02 38

Csci 2021 Srping02 39

Csci 2021 Srping02 40

Csci 2021 Srping02 41

Csci 2021 Srping02 42

Csci 2021 Srping02 43

Csci 2021 Srping02 44 Truth Table for Mod-4 Counter RESETS1S0S1/S0Q1/Q0 0000/1 0011/0 0101/1 0110/ Note that S1/S0 are identical to Q1/Q0

Csci 2021 Srping02 45

Csci 2021 Srping02 46

Csci 2021 Srping02 47

Csci 2021 Srping02 48

Csci 2021 Srping02 49 Finite State Machine 4X5 PLA Q D S0 Q D S1 X1 X0 Z2 Z1 Z0 CLK

Csci 2021 Srping02 50 Truth Table for Vending Machine S1S0X1X0S1S0Z0Z1Z

Csci 2021 Srping02 51 Example Assume our vending machine takes only nickels and dimes. The machine vends items for 15 cents. What is the state transition diagram?

Csci 2021 Srping02 52 Example Assume our vending machine takes only nickels and dimes. The machine vends items for 15 cents. What is the state transition diagram? A 0 cent B 5 cent C 10 cent N/00 N/10 D/00 D/10 D/11 N/D: Nickel or Dime 0/1: dispense or not 0/1: return nickel or not