1 System-on-Chip (SoC) Testing An Introduction and Overview of IEEE 1500 Standard Testability Method for Embedded Core-based ICs.

Slides:



Advertisements
Similar presentations
Introduction to DFT Alexander Gnusin.
Advertisements

18 July 2001 Work In Progress – Not for Publication 2001 ITRS Test Chapter ITRS Test ITWG Mike Rodgers Don Edenfeld.
Z. Stamenković 1, M. Giles 2, and F. Russi 2 1 IHP GmbH, Frankfurt (Oder), GERMANY 2 Synopsys Inc., Mountain View, CA, USA 13th IEEE European Test Symposium,
Overview Why VLSI? Moore’s Law. The VLSI design process.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 31/22alt1 Lecture 31 System Test (Lecture 22alt in the Alternative Sequence) n Definition n Functional.
Apr. 20, 2001VLSI Test: Bushnell-Agrawal/Lecture 311 Lecture 31 System Test n Definition n Functional test n Diagnostic test  Fault dictionary  Diagnostic.
System On Chip - SoC Mohanad Shini JTAG course 2005.
A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA, and customizable I/O Borgatti, M. Lertora, F. Foret, B. Cali, L.
Modular SOC Testing With Reduced Wrapper Count Qiang Xu; Nicolici, N., “Modular SOC testing with reduced wrapper count”, IEEE Transactions on Computer-Aided.
CHALLENGES IN EMBEDDED MEMORY DESIGN AND TEST History and Trends In Embedded System Memory.
Copyright 2001, Agrawal & BushnellDay-1 AM Lecture 11 Design for Testability Theory and Practice January 15 – 17, 2005 Vishwani D. Agrawal James J. Danaher.
Feng-Xiang Huang A Low-Cost SOC Debug Platform Based on On-Chip Test Architectures.
Spring 08, Apr 17 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2008 System Test Vishwani D. Agrawal James J. Danaher.
Core-based SoCs Testing Julien Pouget Embedded Systems Laboratory (ESLAB) Linköping University Julien Pouget Embedded Systems Laboratory (ESLAB) Linköping.
Spring 07, Jan 16 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Introduction Vishwani D. Agrawal James J. Danaher.
~ EDA lab ~ Interconnect Verification for SOC Jing-Yang Jou Department of Electronics Engineering National Chiao Tung University Hsinchu, Taiwan
Copyright 2001, Agrawal & BushnellDay-2 PM Lecture 121 Design for Testability Theory and Practice Lecture 12: System Diagnosis n Definition n Functional.
Configurable System-on-Chip: Xilinx EDK
Spring 07, Jan 25 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 VLSI System DFT Vishwani D. Agrawal James J. Danaher.
6/30/2015HY220: Ιάκωβος Μαυροειδής1 Moore’s Law Gordon Moore (co-founder of Intel) predicted in 1965 that the transistor density of semiconductor chips.
BIST vs. ATPG.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
EE587 SoC Design & Test School of EECS Washington State University
Washington State University
CS-280 Dr. Mark L. Hornick 1 Parts of a GP Computer (Microcomputer) Contains separate Microprocessor chip Memory/Memory controller MB control chips Peripheral.
L29:Lower Power Embedded Architecture Design 성균관대학교 조 준 동 교수,
BS Test & Measurement Technique for Modern Semi-con devices & PCBAs.
Chap. 1 Overview of Digital Design with Verilog. 2 Overview of Digital Design with Verilog HDL Evolution of computer aided digital circuit design Emergence.
Understanding Computers, Ch.31 Chapter 3 The System Unit: Processing and Memory.
ON LINE TEST GENERATION AND ANALYSIS R. Šeinauskas Kaunas University of Technology LITHUANIA.
1 SOC Test Architecture Optimization for Signal Integrity Faults on Core-External Interconnects Qiang Xu and Yubin Zhang Krishnendu Chakrabarty The Chinese.
Presenter: Hong-Wei Zhuang On-Chip SOC Test Platform Design Based on IEEE 1500 Standard Very Large Scale Integration (VLSI) Systems, IEEE Transactions.
SoC TAM Design to Minimize Test Application Time Advisor Dr. Vishwani D. Agrawal Committee Members Dr. Victor P. Nelson, Dr. Adit D. Singh Apr 9, 2015.
Architecture Examples And Hierarchy Samuel Njoroge.
Sogang University Advanced Computing System Chap 1. Computer Architecture Hyuk-Jun Lee, PhD Dept. of Computer Science and Engineering Sogang University.
1 ITRS Design TWG Test Column Draft 1 Feb. 4, 2001.
집적회로 Spring 2007 Prof. Sang Sik AHN Signal Processing LAB.
Ob-Chip Networks and Testing1 On-Chip Networks and Testing-II.
CMP 4202: VLSI System Design Lecturer: Geofrey Bakkabulindi
Unit III Design for Testability
TAMES2-Workshop R&D for Embedded Analogue Testing Diego Vázquez García de la Vega Instituto de Microelectrónica de Sevilla (IMSE-CNM)
The Macro Design Process The Issues 1. Overview of IP Design 2. Key Features 3. Planning and Specification 4. Macro Design and Verification 5. Soft Macro.
Test and Test Equipment Joshua Lottich CMPE /23/05.
Tom Newsom Vice President & General Manager SOC Business Unit May 2003 Agilent Technologies Accelerating the Future of DFT.
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS Boundary Scan.
1 CS/COE0447 Computer Organization & Assembly Language CHAPTER 1.
Testability of Analogue Macrocells Embedded in System-on-Chip Workshop on the Testing of High Resolution Mixed Signal Interfaces Held in conjunction with.
Introduction Computer System “An electronic device, operating under the control of instructions stored in its own memory unit, that can accept data (input),
Improving NoC-based Testing Through Compression Schemes Érika Cota 1 Julien Dalmasso 2 Marie-Lise Flottes 2 Bruno Rouzeyre 2 WNOC
LEONARDO INSIGHT II / TAP-MM ASTEP - Introduction to mixed-signal testing using the standard © J. M. Martins Ferreira - University of Porto (FEUP.
Chapter 1 Introduction.  Architecture is those attributes visible to the programmer ◦ Instruction set, number of bits used for data representation, I/O.
VLSI Design System-on-Chip Design
What is a Microprocessor ? A microprocessor consists of an ALU to perform arithmetic and logic manipulations, registers, and a control unit Its has some.
Technical University Tallinn, ESTONIA Copyright by Raimund Ubar 1 Raimund Ubar N.Mazurova, J.Smahtina, E.Orasson, J.Raik Tallinn Technical University.
EMT 351/4 DIGITAL IC DESIGN Week # 1 EDA & HDL.
Programmable Logic Devices
ECE354 Embedded Systems Introduction C Andras Moritz.
ELEC 7770 Advanced VLSI Design Spring 2016 Introduction
Difference Between SOC (System on Chip) and Single Board Computer
VLSI Testing Lecture 14: System Diagnosis
System On Chip.
System On Chip - SoC E.Anjali.
Testability in EOCHL (and beyond…)
CPE/EE 428/528 VLSI Design II – Intro to Testing (Part 3)
ELEC 7770 Advanced VLSI Design Spring 2014 Introduction
VLSI Testing Lecture 15: System Diagnosis
Text Book Computer Organization and Architecture: Designing for Performance, 7th Ed., 2006, William Stallings, Prentice-Hall International, Inc.
ELEC 7770 Advanced VLSI Design Spring 2012 Introduction
ELEC 7770 Advanced VLSI Design Spring 2010 Introduction
Presentation transcript:

1 System-on-Chip (SoC) Testing An Introduction and Overview of IEEE 1500 Standard Testability Method for Embedded Core-based ICs

2 What is a SoC? Technological advances allow electronic systems that earlier occupied one or more boards onto a single IC. The attending advantages are: Higher performance Lower Power consumption Smaller volume and weight Typically, heterogeneous, containing a mix of: Digital logic Memories of different formats and types Analog circuits Embedded cores

3 What is a core? Large, reusable building blocks Reuse speeds up design, brings in external expertise. Typical core functions: CPUs and DSPs Serial interfaces Modules for interconnect standards, e.g. PC, USB, IEEE 1394 (Firewire), and for graphics computation, e.g. MPEG and JPEG Memories Core Types: Soft (RTL code) Firm (netlist) hard (layout)

4 Core Providers vs. Core Users Cores have changed the nature of components used in system design: In traditional system-on-board design provided components were ICs, designed, manufactured, and tested by the provider. Users could assume components to be fault-free and needed to test only interconnect between the components. In SoC, components are cores (soft, firm, or hard) that are not yet manufactured or tested for defects.

5 New Testing Issues in SoCs Core user responsible for manufacturing and testing the SoC However, this is not possible without the assistance of core provider because core design is hidden for IP reasons. Typically, core provider assists by delivering pre-defined tests with the core. The problem that faced the SoC designer was how to apply these tests at the core boundaries.

6 IEEE 1500 Standard for Embedded Core Test* Stated Purpose: Reduce test cost through improved automation, promote good design-for-test (DFT) technique, and improve test quality through improved access. Scalable standard architecture for test reuse and integration for embedded cores and associated circuitry. Only defined for digital circuitry. Has serial and parallel test-access mechanisms (TAMs) and an instruction set for testing cores, SoC interconnect, and circuitry. Provides features to isolate and protect cores See also, E. J. Marinissen et al., Journal of Electronic Testing: Theory and Applications (JETTA), 18, , 2002.

7 Generic Test Access Architecture Architecture components Source Sink TAMs Wrapper Source/sink can be external or internal to the chip.

8 Overview of Wrapper Architecture

9 Wrapper Instructions

10 Timing: WIR shift, then WIR Update

11 Wrapper Boundary Cells For Core InputFor Core Output

12 Wrapper Serial Bypass Example

13 Wrapper External Test Mode

14 Core Test Language (CTL) Purpose: Support all information the core provider needs to give for embedding the core in a SoC. Requirement: Patterns, which contain bulk of the test data, are reusable without any modification. CTL Components

15 SoC Test Challenges Core Test Providing DfT inside cores and test patterns to linked by SoC designer to chip-level test patterns sources and sinks that may be on-chip (BIST) or off-chip (ATE) Core Test Access: Problems relate to deep embedding of cores and their large I/O pins compared to chip I/O pins. Sophisticated TAMs provide the solution. SoC Level Test: How to integrate individual core tests and tests for interconnect? The solution take the form of test scheduling strategies.

16 Two Compliance Levels 1. Unwrapped Cores: Bare core - no wrapper - but must have a CTL program for core test at the bare-core level, which can be used to design a “1500-wrapped” core. 2. Wrapped Cores: IEEE 1500 wrapper + CTL program.

17 Example Core and Wrapper

18 Instruction Decoding for Serial and Parallel Tests