정 용 군 ( 전자공학과 대학원 ) 대상 : VLSI 설계 연구회 1,2,3 학년 기간 : 99.1.11 ~ 99.1.13 Synopsys Tool 교육 Synopsys 교육 1.

Slides:



Advertisements
Similar presentations
Xilinx 6.3 Tutorial Integrated Software Environment (ISE) Set up basic environment Select Gates or Modules to Be simulated (Insert Program Code) Run Waveform.
Advertisements

SP2006 CSE598A/EE597G CAD Tool Tutorial Spring 2006 CSE598A / EE597G Analog-Digital Mixed-Signal CMOS Chip Design.
Integrated Circuits Laboratory Faculty of Engineering Digital Design Flow Using Mentor Graphics Tools Presented by: Sameh Assem Ibrahim 16-October-2003.
1 Omar F. Mousa Professor: Scott Wakefield Omar F. Mousa Professor: Scott Wakefield.
CSE241 Formal Verification.1Cichy, UCSD ©2003 CSE241A VLSI Digital Circuits Winter 2003 Recitation 6: Formal Verification.
ECE 272 Xilinx Tutorial. Workshop Goals Learn how to use Xilinx to: Draw a schematic Create a symbol Generate a testbench Simulate your circuit.
ECE – 329 Fall 2007 Lab Manual for Xilinx Example: Design and simulation of a Half Adder Instructor: Dr.Botros.
How to use the VHDL and schematic design entry tools.
King Fahd University of Petroleum and Minerals Computer Engineering Department COE 561 Digital Systems Design and Synthesis (Course Activity) Synthesis.
TELL1 VHDL Framework By Aditya Mittal. Scenario Block Diagram
ECE Department: University of Massachusetts, Amherst Lab 1: Introduction to NIOS II Hardware Development.
Foundation and XACTstepTM Software
Introduction to Basys 2. Switches Slide switchesPush button switches.
Figure 1.1 The Altera UP 3 FPGA Development board
© 2011 Xilinx, Inc. All Rights Reserved This material exempt per Department of Commerce license exception TSU Xilinx Tool Flow.
Guest Lecture by Ben Magstadt CprE 281: Digital Logic.
CWRU EECS 317 EECS 317 CAD Computer Design LECTURE 5: VHDL SYNTHESIS with SYNOPSYS dc_shell Instructor: Francis G. Wolff Case Western.
Guest Lecture by Ben Magstadt CprE 281: Digital Logic.
StateCAD FPGA Design Workshop. For Academic Use Only Presentation Name 2 Objectives After completing this module, you will be able to:  Describe how.
FPGA-Based System Design: Chapter 4 Copyright  2004 Prentice Hall PTR HDL coding n Synthesis vs. simulation semantics n Syntax-directed translation n.
ISE. Tatjana Petrovic 249/982/22 ISE software tools ISE is Xilinx software design tools that concentrate on delivering you the most productivity available.
Introduction to Design Tools COE Review: Tools, functions, design flow Four tools we will use in this course – HDL Designer Suite FPGA Advantage.
Mentor Tools tutorial Bold Browser Design Manager Design Architect Library Components Quicksim Creating and Compiling the VHDL Model.
Boolean Algebra (Continued) ELEC 311 Digital Logic and Circuits Dr. Ron Hayne Images Courtesy of Cengage Learning.
Ch.9 CPLD/FPGA Design TAIST ICTES Program VLSI Design Methodology Hiroaki Kunieda Tokyo Institute of Technology.
ASIC/FPGA design flow. FPGA Design Flow Detailed (RTL) Design Detailed (RTL) Design Ideas (Specifications) Design Ideas (Specifications) Device Programming.
Simulink ® Interface Course 13 Active-HDL Interfaces.
Xilinx Development Software Design Flow on Foundation M1.5
CWRU EECS 317 EECS 317 CAD Computer Aided Design LECTURE 3: Synopsys Simulator Instructor: Francis G. Wolff Case Western Reserve University.
Comments on Lab #4 Annotating Timing Diagrams Draw viewer’s attention to the points you are trying to show / verify –Important output states glitch or.
ELEC 5270/6270 Spring 2013 Low-Power Design of Electronic Circuits Tools for Power Analysis
© 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Xilinx Design Flow FPGA Design Flow Workshop.
1 Introduction to Xilinx ISL8.1i Schematic Capture and VHDL 1.
HDL Bencher FPGA Design Workshop. For Academic Use Only Presentation Name 2 Objectives After completing this module, you will be able to:  Describe the.
Active-HDL Interfaces Debugging C Code Course 10.
© 2003 Xilinx, Inc. All Rights Reserved FPGA Editor: Viewing and Editing a Routed Design.
My Second FPGA for Altera DE2-115 Board 數位電路實驗 TA: 吳柏辰 Author: Trumen.
FPGA_Editor Probes. . Probe Overview 2 Adding a Probe : GUI Probes tie an internal signal to an output pin To Launch the GUI: Click the “probes” button.
Introduction to FPGA Created & Presented By Ali Masoudi For Advanced Digital Communication Lab (ADC-Lab) At Isfahan University Of technology (IUT) Department.
Synopsys Custom Designer Tutorial for a chip integration using the University of Utah Standard Cell Libraries In ON Semiconductor 0.5u C5 CMOS Version.
Programmable Logic Training Course Project Manager.
This material exempt per Department of Commerce license exception TSU Xilinx Tool Flow.
Fall 08, Oct 31ELEC Lecture 8 (Updated) 1 Lecture 8: Design, Simulation Synthesis and Test Tools ELEC 2200: Digital Logic Circuits Nitin Yogi
Lecture #2 Page 1 ECE 4110– Sequential Logic Design Lecture #2 Agenda 1.Logic Design Tools Announcements 1.n/a.
ECE 545 Project 2 Specification. Schedule of Projects (1) Project 1 RTL design for FPGAs (20 points) Due date: Tuesday, November 22, midnight (firm) Checkpoints:
Programmable Logic Training Course HDL Editor
ECE 545 Project 2 Specification. Project 2 (15 points) – due Tuesday, December 19, noon Application: cryptography OR digital signal processing optimized.
Chapter 04 Tutorial Using StateCAD. Objective This tutorial will give you exposure to using StateCAD and VHDL Using HDL Bencher and Modelsim for simulating.
Introductory project. Development systems Design Entry –Foundation ISE –Third party tools Mentor Graphics: FPGA Advantage Celoxica: DK Design Suite Design.
Objectives Understand the design environment and flow
© 2005 Xilinx, Inc. All Rights Reserved This material exempt per Department of Commerce license exception TSU HDL Co-Simulation.
Introduction to FPGA Tools
Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Topics Modeling with hardware description languages (HDLs).
Tools - Design Manager - Chapter 6 slide 1 Version 1.5 FPGA Tools Training Class Design Manager.
ECE 2372 Modern Digital System Design Section 4.8 Xilinx Schematic Capture Simulation Tutorial.
© 2000 Altera Corporation 1 Quartus Simulator. © 2000 Altera Corporation Dow load from: 2 In This Section Simulator –Features –Supported.
CprE 281: Verilog Tutorial Ben Magstadt – Master’s Student Electrical Engineering.
1 VHDL & Verilog Simulator. Modelsim. 2 Change the directory to where your files exist (All of the files must be in a same folder). Modelsim.
ASIC/FPGA design flow. Design Flow Detailed Design Detailed Design Ideas Design Ideas Device Programming Device Programming Timing Simulation Timing Simulation.
Copyright © 2007 by Pearson Education 1 UNIT 6A COMBINATIONAL CIRCUIT DESIGN WITH VHDL by Gregory L. Moss Click hyperlink below to select: Tutorial for.
How to use ISE Dept. of Info & Comm. Eng. Prof. Jongbok Lee.
Altera Technical Solutions Seminar Schedule OpeningIntroduction FLEX ® 10KE Devices APEX ™ 20K & Quartus ™ Overview Design Integration EDA Integration.
VLSI Synthesis and Simulation Tools Nitin Yogi 01/09/2009
Introduction to Vivado
Topics Modeling with hardware description languages (HDLs).
Dept. of Electronics & Info. Eng. Prof. Jongbok Lee
Topics Modeling with hardware description languages (HDLs).
Topics HDL coding for synthesis. Verilog. VHDL..
THE ECE 554 XILINX DESIGN PROCESS
THE ECE 554 XILINX DESIGN PROCESS
Presentation transcript:

정 용 군 ( 전자공학과 대학원 ) 대상 : VLSI 설계 연구회 1,2,3 학년 기간 : ~ Synopsys Tool 교육 Synopsys 교육 1

Design Analyzer HDL Compiler Family HDL Synthesis HDL Compiler TM VHDL Compiler TM (verilog) Design Compiler Family Circuit optimization Design Compiler TM FPGA Compiler TM (CMOS) Test Compiler Family Test Compiler TM Test Compiler Plus TM VHDL System Simulation SGE VHDL Netlist Schematics State tables Test Vector Technology Library Compiler Netlist Schematics State tables Goals Verilog DesignWare Develop Synopsys 의 구조

Synopsys 교육 1 Synopsys 환경 설정 - 자신의.cshrc 파일에 다음을 추가한다.(donald 기준 ) ################# setup for synopsys #################### setenv SYNOPSYS /tools/synopsys set path = ( $path $SYNOPSYS/sparcOS5/syn/bin ) set path = ( $path $SYNOPSYS/sparcOS5/sim/bin ) set path = ( $path $SYNOPSYS/sparcOS5/sge/bin ) set path = ( $path $SYNOPSYS/iview2/bin ) set path = ( $path /opt/SUNWspro/bin ) source $SYNOPSYS/admin/install/sim/environ.csh ######################################################

Synopsys 교육 1 VHDL System Simulator Synthesis with VHDL sge : Simulation Graphical Environment vhdlan : VHDL analyzer gvan : Graphical VHDL analyzer vhdlsim : VHDL simulator vhdldbx : VHDL debugger => Design Analyzer

Synopsys 교육 1 VHDL System Simulator 1. SGE 시작 Ex : [donald:home/grad/dragon]sge &

Synopsys 교육 1 2. Drawing circuits (Schematic editor)

Synopsys 교육 Drawing circuits (Schematic editor) (cont.) : Add / Symbol command Select components to place

Synopsys 교육 Drawing circuits (Schematic editor) (cont.) : Add / Wire command Draw the line to input/ output port of symbols

Synopsys 교육 Drawing circuits (Schematic editor) (cont.) : Add / Netname command => determine netname enter net name * If net is BUS, enter the net name ; A(3:0), B(4:0) etc.

Synopsys 교육 Drawing circuits (Schematic editor) (cont.) : Add / I/O Marker command => determine input/output

Synopsys 교육 Drawing circuits (Schematic editor) (cont.) : File / Save command =>.sch,._sc

Synopsys 교육 1 3. Compile circuits (Schematic editor) : Netlist => VHDL code 3.1. Creating a symbol If select this block, display information of designed circuits automatically generated by Schematic editor

Synopsys 교육 Creating a symbol (cont.) => To simulate and synthesis after transforming netlist to VHDL code => To edit symbol by designer => Must create a symbol for each schematic :.sym

Synopsys 교육 Creating a symbol (cont.) Draw / Rect => draw box

Synopsys 교육 Creating a symbol (cont.) Draw / Line => draw line Add / Pin => determine in/out port

Synopsys 교육 Creating a symbol (cont.) Add / Pin Attr => determine pin name and polarity BUS => A(3:0), B(5:0) pin name change polarity

Synopsys 교육 Creating a symbol (cont.) determine position of pin name Add / Pin Name Loc

Synopsys 교육 Creating a symbol (cont.) Add / Window Using the symbol created, is placed with this shape

Synopsys 교육 Creating a symbol (cont.)

Synopsys 교육 Generate VHDL code vi editor Netlist => VHDL code

Synopsys 교육 1 4. Simulation => It is the most useful method using test bench. 4.1 Test Bench Generation 1) automatic generation by SGE 2) code test bench for yourself

Synopsys 교육 Automatic generation by SGE

Synopsys 교육 Automatic generation by SGE (cont.) : Tools / Code VHDL Models => tb_(circuit-name).vhd generate test bench Test bench is automatically generated, but insert input signal to test bench with using editor program(vi)

Synopsys 교육 Automatic generation by SGE (cont.) : Tools / Analyze VHDL Models => compile test bench

Synopsys 교육 Automatic generation by SGE (cont.) : Tools / Start VHDL Simulator => compile test bench VHDL debugger (vhdldbx) WAVE

Synopsys 교육 Coding test bench for yourself Designed circuit test bench input signal output signal structual description

Synopsys 교육 1 Example of input signals for test bench clock of 250 ns period reset signal

Synopsys 교육 Simulation vhdlan vhdldbxwave vhdl source test bench error OK

Synopsys 교육 vhdlan (shell mode) usage : vhdlan [option] (vhdl source, test bench) [option] -l : read vhdl file and generate *.lis file having error message -c : generate object code compiled -v : display information of analyzer Ex : vhdlan -l -c test.vhd cf) graphic mode : gvan

Synopsys 교육 vhdldbx ( ex : [donald:home/grad/dragon/WORK]vhdldbx & ) select configuration 1. include *.log 2. run edit *.log file : have information in/out port being displayed in wave window ex) vi test.log trace clk trace a[0].

Synopsys 교육 wave ( ex : [donald:home/grad/dragon/WORK]waves & ) waveform file *.ow

Synopsys 교육 wave ( ex : [donald:home/grad/dragon/WORK]waves & ) (cont.)

Synopsys 교육 1 Synthesis with VHDL Design Compiler Design Operating Environment Description Timing, Area and Design Rule Goals Optimized design Reports Schematics Inputs and Outputs of Design Compiler `

Synopsys 교육 1 Menu command line Design Compiler design_analyzer (graphical mode) dc_shell (shell mode) Design Compiler Interaction

Synopsys 교육 1 Design analyzer 실행 Ex : [donald:home/grad/dragon]design_analyzer &

Synopsys 교육 1 File / Read command => To read designs into Design Compiler Read formats; DB EDIF Equation LSI Mentor PLA State table Tegas Verilog VHDL

Synopsys 교육 1 File / Analyze command => syntax checks VHDL code,.syn and.mra file 생성 vhdl source file find file to analyze Library is the name of working directory. determine format to analyze

Synopsys 교육 1 File / Elaborate command => elaborate after analyze to bring design into Design Compiler memory select working directory name automatically re-analyzes out of date intermediate files if source can be found * If you change VHDL code, analyze and elaborate again

Synopsys 교육 1 Analysis / Link Design command => To ensure all sub-elements of your hierarchical designs are available.

Synopsys 교육 1 Analysis / Check Design command => execute check design before you optimize your design

Synopsys 교육 1 Attributes / Clock command

Synopsys 교육 1 Design Optimization 1. Constraints

Synopsys 교육 1 2. Design optimization => type of CLB and IOB of Xilinx

Synopsys 교육 1 FPGA