Washington State University

Slides:



Advertisements
Similar presentations
Digital Integrated Circuits© Prentice Hall 1995 Design Methodologies Design for Test.
Advertisements

Semiconductor Memory Design. Organization of Memory Systems Driven only from outside Data flow in and out A cell is accessed for reading by selecting.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 261 Lecture 26 Logic BIST Architectures n Motivation n Built-in Logic Block Observer (BILBO) n Test.
Slides based on Kewal Saluja
Keeping Hot Chips Cool Ruchir Puri, Leon Stok, Subhrajit Bhattacharya IBM T.J. Watson Research Center Yorktown Heights, NY Circuits R-US.
Power Reduction Techniques For Microprocessor Systems
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
DAAD Project ISSNBS Niš, LOW POWER MICROCONTROLLER DESIGN BY USING UPF Borisav Jovanović, Milunka Damnjanović, Faculty of Electronic Engineering.
Chapter 09 Advanced Techniques in CMOS Logic Circuits
Fall 06, Sep 19, 21 ELEC / Lecture 6 1 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic.
Digital Integrated Circuits© Prentice Hall 1995 Interconnect COPING WITH INTERCONNECT.
Introduction to CMOS VLSI Design Lecture 18: Design for Low Power David Harris Harvey Mudd College Spring 2004.
Designing Combinational Logic Circuits: Part2 Alternative Logic Forms:
S. Reda EN160 SP’08 Design and Implementation of VLSI Systems (EN1600) Lecture 14: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
University of Michigan Advanced Computer Architecture Lab. March 21, Key PED Challenges David Blaauw University of Michigan
8/19/04ELEC / ELEC / Advanced Topics in Electrical Engineering Designing VLSI for Low-Power and Self-Test Fall 2004 Vishwani.
Spring 07, Jan 16 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Introduction Vishwani D. Agrawal James J. Danaher.
MICRO-MODEM RELIABILITY SOLUTION FOR NOC COMMUNICATIONS Arkadiy Morgenshtein, Evgeny Bolotin, Israel Cidon, Avinoam Kolodny, Ran Ginosar Technion – Israel.
8/18/05ELEC / Lecture 11 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 22: Material Review Prof. Sherief Reda Division of Engineering, Brown University.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 13: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
Lecture 5 – Power Prof. Luke Theogarajan
Lecture 7: Power.
Lecture 21, Slide 1EECS40, Fall 2004Prof. White Lecture #21 OUTLINE –Sequential logic circuits –Fan-out –Propagation delay –CMOS power consumption Reading:
Low Power Design of Integrated Systems Assoc. Prof. Dimitrios Soudris
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
The CMOS Inverter Slides adapted from:
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
6.893: Advanced VLSI Computer Architecture, September 28, 2000, Lecture 4, Slide 1. © Krste Asanovic Krste Asanovic
EE466: VLSI Design Power Dissipation. Outline Motivation to estimate power dissipation Sources of power dissipation Dynamic power dissipation Static power.
By: Jabulani Nyathi Washington State University School of EECS April 30, 2009 Circuits and Architectures to Deliver Low Power and High Speed Systems.
ENGG 6090 Topic Review1 How to reduce the power dissipation? Switching Activity Switched Capacitance Voltage Scaling.
1 VLSI Design SMD154 LOW-POWER DESIGN Magnus Eriksson & Simon Olsson.
Power Reduction for FPGA using Multiple Vdd/Vth
EE415 VLSI Design DYNAMIC LOGIC [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
A Class Presentation for VLSI Course by : Fatemeh Refan Based on the work Leakage Power Analysis and Comparison of Deep Submicron Logic Gates Geoff Merrett.
Logic Synthesis for Low Power(CHAPTER 6) 6.1 Introduction 6.2 Power Estimation Techniques 6.3 Power Minimization Techniques 6.4 Summary.
Washington State University
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Digital Integrated Circuits© Prentice Hall 1995 Interconnect COPING WITH INTERCONNECT.
The George Washington University School of Engineering and Applied Science Department of Electrical and Computer Engineering ECE122 – Lab 7 MOSFET Parameters.
Ratioed Circuits Ratioed circuits use weak pull-up and stronger pull-down networks. The input capacitance is reduced and hence logical effort. Correct.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS
Why Low Power Testing? 台大電子所 李建模.
XIAOYU HU AANCHAL GUPTA Multi Threshold Technique for High Speed and Low Power Consumption CMOS Circuits.
Leakage reduction techniques Three major leakage current components 1. Gate leakage ; ~ Vdd 4 2. Subthreshold ; ~ Vdd 3 3. P/N junction.
Modern VLSI Design 3e: Chapter 7 Copyright  1998, 2002 Prentice Hall PTR Topics n Power/ground routing. n Clock routing. n Floorplanning tips. n Off-chip.
Bi-CMOS Prakash B.
CS/EE 3700 : Fundamentals of Digital System Design
By Nasir Mahmood.  The NoC solution brings a networking method to on-chip communication.
EE415 VLSI Design THE INVERTER [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 6.1 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng.
EE141 Combinational Circuits 1 Chapter 6 (I) Designing Combinational Logic Circuits Dynamic CMOS LogicDynamic CMOS Logic V1.0 5/4/2003.
VADA Lab.SungKyunKwan Univ. 1 L5:Lower Power Architecture Design 성균관대학교 조 준 동 교수
EE 466/586 VLSI Design Partha Pande School of EECS Washington State University
EE586 VLSI Design Partha Pande School of EECS Washington State University
LOW POWER DESIGN METHODS
LOW POWER DESIGN METHODS V.ANANDI ASST.PROF,E&C MSRIT,BANGALORE.
Hot Chips, Slow Wires, Leaky Transistors
COUPING WITH THE INTERCONNECT
Reading: Hambley Ch. 7; Rabaey et al. Sec. 5.2
A High Performance SoC: PkunityTM
Lecture 7: Power.
COPING WITH INTERCONNECT
Lecture 7: Power.
Lecture 26 Logic BIST Architectures
Presentation transcript:

Washington State University EE 587 SoC Design & Test Partha Pande School of EECS Washington State University pande@eecs.wsu.edu

Final Exam Review

System Level Design Issues SoC Interconnection Architectures Drawback of bus-based systems in terms of timing, power and other relevant parameters Role of parallelism Problem with long wires Buffer Insertion Problems with classical buffer insertion How to deal with that Multi-processor SoC (MP-SoC) platform design

Signal Integrity Crosstalk Avoidance Comparative study of different CAC schemes How to cascade multiple CAC blocks for a wide bus so that there is no crosstalk between two sub blocks Except coding what are the different methods of reducing coupling Effect of inductance on buffer insertion Effect of inductance on propagation delay Electromigration L di/dt noise Decoupling capacitance IR drop in power lines

Clock & Power Routing How to control IR drop and L di/dt noise What is the advantage of interleaved power & ground routing Different ways of reducing power in clocking Different clock routing mechanisms Advantages Tapered H-tree Configuration of gated clock

SoC Testing What are the principal challenges in SoC testing Design of scan flip-flop How can you modify a pass transistor-based latch to make it scanable JTAG instructions LFSR pattern generation Given a polynomial you need to derive the LFSR configuration How you can modify a BILBO for different modes of operations

IDDQ Testing What is bridging fault and how you can detect it Applicability of IDDQ test in SoCs, what is the challenge? How to switch off static current dissipating components for IDDQ testing. You need to explain with the help of proper circuit level design details Relation between JTAG and IDDQ testing

Iddq Testing in SoC

Methods of Reducing Power Architectural Decisions – has the highest impact (parallelism, pipelining, low activity designs, lower frequency operation ) Circuit Techniques – gated clocks, low glitch circuits, reduce capacitances, reduce activity Recent developments – Vdd scaling, VT adjustments Software – low power instructions, algorithms CAD tools to implement low-power techniques

Circuit Design Styles Nonclocked Logic CMOS, Pseudo-NMOS, Differential Cascade Voltage Switch (DCVS), Pass-Transistor Clocked Logic Domino, Differential Current Switch Logic (DCSL)

Circuit Design Styles Advantages of DCSL gates Principle of skewed CMOS Dependence of short circuit current & leakage current on the skew ratio Role of Vdd and Vt scaling Principle of MTCMOS Difference between logic and memory circuits in terms of Vdd and Vt scaling

MTCMOS In active mode, low-VT MOSFET’s achieve high speed. In standby mode when St'by signal is high, high-VT MOSFET’s in series to normal logic circuits cut off leakage current.

Issues in MTCMOS Virtual ground not actual ground (lose some noise margin) Can increase width of sleep transistor to reduce voltage at virtual ground but it will also increase subthreshold leakage and area of sleep transistor

Variable Threshold-CMOS Threshold voltage of both devices are increased by adjusting the body-bias voltage in order to reduce subthreshold leakage current in standby mode Requires twin-tub technology so that substrates of individual devices can be adjusted

Low Swing Interconnects Dynamically Enabled Drivers Low Swing Bus Different level converter circuits

Dynamic Power Management Dynamically reconfigures an electronic system to provide the requested services and performance levels with a minimum number of active components or a minimum load on such components Selectively turns off or reduce the performance of idle or partially unexploited components

DPM Techniques Predictive Technique Static Technique Adaptive Technique Clock gating Supply shut down

Low Power SRAM Design Banked Organization Divided Word Line Pulsed Word line Bit Line Isolation Suppressing leakage in SRAM

Power & BIST Modern design and package technologies make external testing increasingly difficult, and BIST has emerged as a promising solution to the VLSI testing problem BIST is a DFT methodology aimed at detecting faulty components in a system by incorporating test logic on chip. In BIST, an LFSR generates test pattern LFSR-generated tests tend to take longer to reach acceptable levels of fault coverage, which increases the total energy consumption Test vectors applied at nominal operating frequency will have a higher average power dissipation than normal mode. This is because in normal mode, successive functional input vectors applied to a given circuit have significant correlation; the consecutive vectors of an LFSR generated test sequence have a lower correlation.

Final Exam 6-7 questions Wire Engineering, SoC Design & Test, Low Power Design These broad topics will be equally represented Try to answer as much as you can I will be testing you on whatever I have taught Class Notes are very important