Bus-Pin-Aware Bus-Driven Floorplanning B. Wu and T. Ho Department of Computer Science and Information Engineering NCKU GLSVLSI 2010.

Slides:



Advertisements
Similar presentations
Semi-Detailed Bus Routing with Variation Reduction Fan Mo, Synplicity Robert Brayton, UC Berkeley Presented by: Philip Chong, Cadence.
Advertisements

Optimal Bus Sequencing for Escape Routing in Dense PCBs H.Kong, T.Yan, M.D.F.Wong and M.M.Ozdal Department of ECE, University of Illinois at U-C ICCAD.
THERMAL-AWARE BUS-DRIVEN FLOORPLANNING PO-HSUN WU & TSUNG-YI HO Department of Computer Science and Information Engineering, National Cheng Kung University.
Constraint Driven I/O Planning and Placement for Chip-package Co-design Jinjun Xiong, Yiuchung Wong, Egino Sarto, Lei He University of California, Los.
A Graph-Partitioning-Based Approach for Multi-Layer Constrained Via Minimization Yih-Chih Chou and Youn-Long Lin Department of Computer Science, Tsing.
Native-Conflict-Aware Wire Perturbation for Double Patterning Technology Szu-Yu Chen, Yao-Wen Chang ICCAD 2010.
Linear Constraint Graph for Floorplan Optimization with Soft Blocks Jia Wang Electrical and Computer Engineering Illinois Institute of Technology Chicago,
Hsi-An Chien Ting-Chi Wang Redundant-Via-Aware ECO Routing ASPDAC2014.
Wen-Hao Liu1, Yih-Lang Li, and Cheng-Kok Koh Department of Computer Science, National Chiao-Tung University School of Electrical and Computer Engineering,
A Novel Cell Placement Algorithm For Flexible TFT Circuit With Mechanical Strain And Temperature Consideration Jiun-Li Lin, Po-Hsun Wu, and Tsung-Yi Ho.
Meng-Kai Hsu, Sheng Chou, Tzu-Hen Lin, and Yao-Wen Chang Electronics Engineering, National Taiwan University Routability Driven Analytical Placement for.
Methodology for Standard Cell Compliance and Detailed Placement for Triple Patterning Lithography Bei Yu, Xiaoqing Xu, JhihRong Gao, David Z. Pan.
Paul Falkenstern and Yuan Xie Yao-Wen Chang Yu Wang Three-Dimensional Integrated Circuits (3D IC) Floorplan and Power/Ground Network Co-synthesis ASPDAC’10.
Coupling-Aware Length-Ratio- Matching Routing for Capacitor Arrays in Analog Integrated Circuits Kuan-Hsien Ho, Hung-Chih Ou, Yao-Wen Chang and Hui-Fang.
An ILP-based Automatic Bus Planner for Dense PCBs P. C. Wu, Q. Ma and M. D. F. Wong Department of Electrical and Computer Engineering, University of Illinois.
Routability-Driven Blockage-Aware Macro Placement Yi-Fang Chen, Chau-Chin Huang, Chien-Hsiung Chiou, Yao-Wen Chang, Chang-Jen Wang.
Automated Layout and Phase Assignment for Dark Field PSM Andrew B. Kahng, Huijuan Wang, Alex Zelikovsky UCLA Computer Science Department
38 th Design Automation Conference, Las Vegas, June 19, 2001 Creating and Exploiting Flexibility in Steiner Trees Elaheh Bozorgzadeh, Ryan Kastner, Majid.
Processing Rate Optimization by Sequential System Floorplanning Jia Wang 1, Ping-Chih Wu 2, and Hai Zhou 1 1 Electrical Engineering & Computer Science.
Sambuddha Bhattacharya Subramanian Rajagopalan Shabbir H. Batterywala Fixing Double Patterning Violations With Look-Ahead ASD-DAC’14.
Floorplanning and Signal Assignment for Silicon Interposer-based 3D ICs W. H. Liu, M. S. Chang and T. C. Wang Department of Computer Science, NTHU, Taiwan.
Triple Patterning Aware Detailed Placement With Constrained Pattern Assignment Haitong Tian, Yuelin Du, Hongbo Zhang, Zigang Xiao, Martin D.F. Wong.
Metal Layer Planning for Silicon Interposers with Consideration of Routability and Manufacturing Cost W. Liu, T. Chien and T. Wang Department of CS, NTHU,
VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 3: Chip Planning © KLMH Lienig 1 Modern Floorplanning Based on B*-Tree and Fast.
Chip Planning 1. Introduction Chip Planning:  Deals with large modules with −known areas −fixed/changeable shapes −(possibly fixed locations for some.
1 ENTITY test is port a: in bit; end ENTITY test; DRC LVS ERC Circuit Design Functional Design and Logic Design Physical Design Physical Verification and.
Chih-Hung Lin, Kai-Cheng Wei VLSI CAD 2008
Mixed Non-Rectangular Block Packing for Non-Manhattan Layout Architectures M. Wu, H. Chen and J. Jou Department of EE, NCTU HsinChu, Taiwan ISQED 2011.
Introduction to Routing. The Routing Problem Apply after placement Input: –Netlist –Timing budget for, typically, critical nets –Locations of blocks and.
A Topology-based ECO Routing Methodology for Mask Cost Minimization Po-Hsun Wu, Shang-Ya Bai, and Tsung-Yi Ho Department of Computer Science and Information.
Area-I/O Flip-Chip Routing for Chip-Package Co-Design Progress Report 方家偉、張耀文、何冠賢 The Electronic Design Automation Laboratory Graduate Institute of Electronics.
Authors: Jia-Wei Fang,Chin-Hsiung Hsu,and Yao-Wen Chang DAC 2007 speaker: sheng yi An Integer Linear Programming Based Routing Algorithm for Flip-Chip.
Escape Routing For Dense Pin Clusters In Integrated Circuits Mustafa Ozdal, Design Automation Conference, 2007 Mustafa Ozdal, IEEE Trans. on CAD, 2009.
CAFE router: A Fast Connectivity Aware Multiple Nets Routing Algorithm for Routing Grid with Obstacles Y. Kohira and A. Takahashi School of Computer Science.
Global Routing.
Pattern Selection based co-design of Floorplan and Power/Ground Network with Wiring Resource Optimization L. Li, Y. Ma, N. Xu, Y. Wang and X. Hong WuHan.
1 Coupling Aware Timing Optimization and Antenna Avoidance in Layer Assignment Di Wu, Jiang Hu and Rabi Mahapatra Texas A&M University.
Etron Project: Placement and Routing for Chip-Package-Board Co-Design
1 Modern Floorplanning Based on Fast Simulated Annealing Tung-Chieh Chen* and Yao-Wen Chang* # Graduate Institute of Electronics Engineering* Department.
TSV-Aware Analytical Placement for 3D IC Designs Meng-Kai Hsu, Yao-Wen Chang, and Valerity Balabanov GIEE and EE department of NTU DAC 2011.
1 Global Routing Method for 2-Layer Ball Grid Array Packages Yukiko Kubo*, Atsushi Takahashi** * The University of Kitakyushu ** Tokyo Institute of Technology.
Wen-Hao Liu 1, Yih-Lang Li 1, and Kai-Yuan Chao 2 1 Department of Computer Science, National Chiao-Tung University, Hsin-Chu, Taiwan 2 Intel Architecture.
BSG-Route: A Length-Matching Router for General Topology T. Yan and M. D. F. Wong University of Illinois at Urbana-Champaign ICCAD 2008.
New Modeling Techniques for the Global Routing Problem Anthony Vannelli Department of Electrical and Computer Engineering University of Waterloo Waterloo,
Wire Planning with consideration of Electromigration and Interference Avoidance in Analog Circuits 演講者 : 黃信雄 龍華科技大學 電子工程系.
Bus-Driven Floorplanning Hua Xiang*, Xiaoping Tang +, Martin D. F. Wong* * Univ. Of Illinois at Urbana-Champaign + Cadence Design Systems Inc.
Thermal-aware Steiner Routing for 3D Stacked ICs M. Pathak and S.K. Lim Georgia Institute of Technology ICCAD 07.
Regularity-Constrained Floorplanning for Multi-Core Processors Xi Chen and Jiang Hu (Department of ECE Texas A&M University), Ning Xu (College of CST Wuhan.
AUTOMATIC BUS PLANNER FOR DENSE PCBS Hui Kong, Tan Yan and Martin D.F. Wong Department of Electrical and Computer Engineering, University of Illinois at.
Placement. Physical Design Cycle Partitioning Placement/ Floorplanning Placement/ Floorplanning Routing Break the circuit up into smaller segments Place.
ECO Timing Optimization Using Spare Cells Yen-Pin Chen, Jia-Wei Fang, and Yao-Wen Chang ICCAD2007, Pages ICCAD2007, Pages
Jason Cong‡†, Guojie Luo*†, Kalliopi Tsota‡, and Bingjun Xiao‡ ‡Computer Science Department, University of California, Los Angeles, USA *School of Electrical.
IO CONNECTION ASSIGNMENT AND RDL ROUTING FOR FLIP-CHIP DESIGNS Jin-Tai Yan, Zhi-Wei Chen 1 ASPDAC.2009.
Tao Lin Chris Chu TPL-Aware Displacement- driven Detailed Placement Refinement with Coloring Constraints ISPD ‘15.
A Negotiated Congestion based Router for Simultaneous Escape Routing Q.Ma, T.Yan and Martin D.F. Wong Department of Electrical and Computer Engineering.
Po-Wei Lee, Chung-Wei Lin, Yao-Wen Chang, Chin-Fang Shen, Wei-Chih Tseng NTU &Synopsys An Efficient Pre-assignment Routing Algorithm for Flip-Chip Designs.
Hsing-Chih Chang Chien Hung-Chih Ou Tung-Chieh Chen Ta-Yu Kuan Yao-Wen Chang Double Patterning Lithography-Aware Analog Placement.
1 A Min-Cost Flow Based Detailed Router for FPGAs Seokjin Lee *, Yongseok Cheon *, D. F. Wong + * The University of Texas at Austin + University of Illinois.
On Routing Fixed Escaped Boundary Pins for High Speed Boards T. Tsai, R. Lee, C. Chin and Y. Kajitani Global UniChip Corp. Hsinchu, Taiwan DATE 2011.
Timing-Driven Routing for FPGAs Based on Lagrangian Relaxation
Simultaneous Analog Placement and Routing with Current Flow and Current Density Considerations H.C. Ou, H.C.C. Chien and Y.W. Chang Electronics Engineering,
ILP-Based Inter-Die Routing for 3D ICs Chia-Jen Chang, Pao-Jen Huang, Tai-Chen Chen, and Chien-Nan Jimmy Liu Department of Electrical Engineering, National.
Maze Routing Algorithms with Exact Matching Constraints for Analog and Mixed Signal Designs M. M. Ozdal and R. F. Hentschke Intel Corporation ICCAD 2012.
LEMAR: A Novel Length Matching Routing Algorithm for Analog and Mixed Signal Circuits H. Yao, Y. Cai and Q. Gao EDA Lab, Department of CS, Tsinghua University,
System in Package and Chip-Package-Board Co-Design
VLSI Physical Design Automation
Partial Reconfigurable Designs
Sequence Pair Representation
Automated Layout and Phase Assignment for Dark Field PSM
Under a Concurrent and Hierarchical Scheme
Presentation transcript:

Bus-Pin-Aware Bus-Driven Floorplanning B. Wu and T. Ho Department of Computer Science and Information Engineering NCKU GLSVLSI 2010

Outline Introduction Problem Formulation Constraints and Terminologies Algorithm Experimental Results Conclusions

Introduction To ease the efforts of bus routing in later routing stage, it is desirable to consider it in the early floorplanning stage. Bus-driven floorplanning targets on obtaining a bus- routable floorplan such that the chip area and the bus area are minimized.

Introduction Without taking the position and orientation of the bus pin into consideration, it may have following impacts on bus routing:  Bus twisting: it makes the signal wires cross at a point and transmit the wrong data.  Via increasing: several vias occur on the bend of a bus that have adverse effects on the bus delay.  Delay variation: different driver-load wirelength between bus bits causes delay variation among all bits of the bus.

Introduction

Problem Formulation Bus-pin aware bus-driven floorplanning problem: Input:  A set of n modules M={m 1, m 2, …, m n }, each module m i is associated with height h i and width w i.  A set of m buses B={b 1, b 2, …, b m }, each bus b j has a width t j and goes through a set of modules. Objective:  Decide the position and orientation of the bus pins on each module.  Determine the routing path of each bus such that no overlapping occurs.  Minimize the chip area and the total bus area.

Constraints and Terminologies Capacity constraint  bw 2 + bw 3 > max(w 1,h 1 ), the capacity of m 1 is not enough for both B 2 and B 3 to pass through.

Constraints and Terminologies Definition: A bus pin of an n-bit bus consists of n pins. A bus pin is oriented horizontally or vertically. The position of the bus pin can be placed on any of the four boundaries of the module. The orientation of the bus pin is defined as the direction from the LSB to MSB.

Constraints and Terminologies Bus pin flipping is used to change the orientation of the bus pins.

Constraints and Terminologies Wirelength deviation represents the wirelength difference among all bits of the bus. The MSB-LSB wirelength deviation:  dev = |len(MSB)-len(LSB)| A turning node can contribute –D, 0 or +D to the MSB-LSB wirelength difference  D = 2BW

Algorithm Derive a floorplan by using the sequence pair representation. Modified Prim’s algorithm is used to obtain bus routing topologies. Perform wirelength reduction algorithm for each bus to reduce the wirelength. Assign each bus to different layers. Orientation determination and deviation minimization

Algorithm In each SA iteration, three operations to perturb:  Rotate  Reverse  Swap Cost = αA + βB + γI  A is the chip area  B is the bus area  I is the number of invalid bus nets

Modified Prim’s Algorithm Construct the MST for bus modules. Check the capacity of each module to avoid violating capacity constraint. If some edges violate the constraint, then other edge will be selected to connect the MST.

Bus Ordering and Coordinate Determination Sequence pair is (1234, 2314) m 1 is placed above m 2, B 2 passing through m 1 has to be placed above B 1 passing through m 2. OCG contains a cycle means the two bus conflict with each other and one of them is regarded as infeasible.

Bus Ordering and Coordinate Determination The coordinate of each horizontal bus B i is: y max = max{y i | i = 1, 2, …, k} k is the number of the modules passed by the bus. y i is the y coordinate of each module.

Wirelength Reduction

Layer Assignment Two layers for bus routing. Layer assignment becomes 2-coloring problem. Construct a conflict graph. Choose the node that has the max degree to assign it to layer 1, and all its neighbors are assigned to layer 2. If odd cycle occurs in the conflict graph, one of the buses are regarded as infeasible.

Orientation Determination and Deviation Minimization There are 150 possible bus shapes including the bus pin position between any two modules. Conclude 24 patterns for all possible bus shapes.

Orientation Determination and Deviation Minimization Choose the pattern holding the best accumulated deviation at the module.

Orientation Determination and Deviation Minimization

Experimental Results

ami33-i ami49-h

Conclusions This paper proposed a high-quality bus-driven floorplanning algorithm considering the practical impacts of the bus pins.