Department of Communication Engineering, NCTU

Slides:



Advertisements
Similar presentations
06/10/071 Security System Using VHDL. 06/10/072 Project Members Amal Shanavas Aneez I Ijas Rahim Renjith S Menon Sajid S Chavady.
Advertisements

HDL Programming Fundamentals
Chapter 3 Gate-Level Minimization
Verilog Fundamentals Shubham Singh Junior Undergrad. Electrical Engineering.
ENEL111 Digital Electronics
OBJECTIVES Learn the history of HDL Development. Learn how the HDL module is structured. Learn the use of operators in HDL module. Learn the different.
VHDL - I 1 Digital Systems. 2 «The designer’s guide to VHDL» Peter J. Andersen Morgan Kaufman Publisher Bring laptop with installed Xilinx.
Give qualifications of instructors: DAP
University Of Vaasa Telecommunications Engineering Automation Seminar Signal Generator By Tibebu Sime 13 th December 2011.
The Concept of Computer Architecture
Hardware Description Languages Drawing of circuit schematics is not practical for circuits containing more than few tens of gates. We need a way to just.
02/02/20091 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
©TheMcGraw-Hill Companies, Inc. Permission required for reproduction or display. COMPSCI 125 Introduction to Computer Science I.
1/31/20081 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
©TheMcGraw-Hill Companies, Inc. Permission required for reproduction or display. COMPSCI 125 Introduction to Computer Science I.
Bridging the Gap Between Theory and Hardware Mario D. Marino, G. Robins, K. Skadron and L. Wang {mdm9uw,robins,skadron,lw2aw}.cs.virginia.edu Department.
ECE Lecture 1 1 ECE 3561 Advanced Digital Design Department of Electrical and Computer Engineering The Ohio State University.
Implementation of DSP Algorithm on SoC. Characterization presentation Student : Einat Tevel Supervisor : Isaschar Walter Accompany engineer : Emilia Burlak.
ASPPRATECH.
O VERVIEW OF DIGITAL SYSTEMS Hardware Description Language 1.
Digital System Design EEE344 Lecture 1 INTRODUCTION TO THE COURSE
(1) Modeling Digital Systems © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
BY VENUS BRIGHT Input Output. What is a input An input is something simple that connects into the computer. Such as the most common input is a keyboard.
Introduction to Computer Architecture & Design Computer Architecture and Design Lecture 0.
Computer Architecture The Concept Ola Flygt V ä xj ö University
EKT303/4 PRINCIPLES OF PRINCIPLES OF COMPUTER ARCHITECTURE (PoCA)
An Introduction To Computer Hardware
CSET 4650 Field Programmable Logic Devices
1 VERILOG Fundamentals Workshop סמסטר א ' תשע " ה מרצה : משה דורון הפקולטה להנדסה Workshop Objectives: Gain basic understanding of the essential concepts.
ECE 2372 Modern Digital System Design
COE4OI5 Engineering Design. Copyright S. Shirani 2 Course Outline Design process, design of digital hardware Programmable logic technology Altera’s UP2.
ENG3050 Embedded Reconfigurable Computing Systems General Information Handout Winter 2015, January 5 th.
INTEGRATED SYSTEMS 1205 Technology Education A Curriculum Review Sabine Schnepf-Comeau July 19, 2011 ED 4752.
Intro to Architecture – Page 1 of 22CSCI 4717 – Computer Architecture CSCI 4717/5717 Computer Architecture Topic: Introduction Reading: Chapter 1.
Chapter I: Introduction to Computer Science. Computer: is a machine that accepts input data, processes the data and creates output data. This is a specific-purpose.
TO THE COURSE ON DIGITAL DESIGN FOR INSTRUMENTATION TO THE COURSE ON DIGITAL DESIGN FOR INSTRUMENTATION.
1 H ardware D escription L anguages Modeling Digital Systems.
Advanced Computer Architecture 0 Lecture # 1 Introduction by Husnain Sherazi.
ELEC692/04 course_des 1 ELEC 692 Special Topic VLSI Signal Processing Architecture Fall 2004 Chi-ying Tsui Department of Electrical and Electronic Engineering.
Introduction to FPGA Created & Presented By Ali Masoudi For Advanced Digital Communication Lab (ADC-Lab) At Isfahan University Of technology (IUT) Department.
Chapter 0 deSiGn conCepTs EKT 221 / 4 DIGITAL ELECTRONICS II.
Introduction to VLSI Design – Lec01. Chapter 1 Introduction to VLSI Design Lecture # 11 High Desecration Language- Based Design.
 Seattle Pacific University EE Logic System DesignCAD-VHDL-1 The Grunt Work of Design Many design tasks require a lot of time and effort Forming.
EKT303/4 PRINCIPLES OF PRINCIPLES OF COMPUTER ARCHITECTURE (PoCA)
ECE 477 Senior Design Group 2  Fall Outline Project overviewProject overview Design Challenges FacedDesign Challenges Faced ECE 270/362 knowledge.
ELEE 4303 Digital II Introduction to Verilog. ELEE 4303 Digital II Learning Objectives Get familiar with background of HDLs Basic concepts of Verilog.
George Mason University Follow-up Courses. ECE Department MS in Electrical Engineering MS EE MS in Computer Engineering MS CpE COMMUNICATIONS & NETWORKING.
ECE Lecture 1 1 ECE 561 Digital Circuit Design Department of Electrical and Computer Engineering The Ohio State University.
Department of Communication Engineering, NCTU 1 Title of Your Project Name.
Chapter1: Introduction Digital System Designs and Practices Using Verilog HDL and 2008~2010, John Wiley 1-1 Chapter 1: Introduction Prof. Ming-Bo.
VHDL From Ch. 5 Hardware Description Languages. History 1980’s Schematics 1990’s Hardware Description Languages –Increased due to the use of Programming.
Introduction to Computer Programming using Fortran 77.
Chapter I: Introduction to Computer Science. Computer: is a machine that accepts input data, processes the data and creates output data. This is a specific-purpose.
SUBJECT : DIGITAL ELECTRONICS CLASS : SEM 3(B) TOPIC : INTRODUCTION OF VHDL.
Introduction to the FPGA and Labs
Electrical Engineering
ASIC Design Methodology
Combinational Logic Design
Digital System Design An Introduction to Verilog® HDL
COMPUTER ORGANIZATION & ASSEMBLY LANGUAGE
Topics Modeling with hardware description languages (HDLs).
Topics Modeling with hardware description languages (HDLs).
Getting Started with Programmable Logic
CS341 Digital Logic and Computer Organization F2003
Lecture 1.3 Hardware Description Languages (HDLs)
Simulation of computer system
HDL Hardware Description Language
Logical Computer System
Digital Designs – What does it take
Chapter 10 Introduction to VHDL
Presentation transcript:

Department of Communication Engineering, NCTU Unit 1 Introduction Department of Communication Engineering, NCTU

Department of Communication Engineering, NCTU What are digital circuits and systems? Any system that can be implemented with digital circuits What kinds of systems can be implemented with digital circuits? This depends on the complexity of the problem to be solved For those who has complexity proportional to polynomial functions, they are generally solvable with digital systems E.g. The inversion of a N  N matrix What are they? IEEE Trans. on Circuits and Systems Department of Communication Engineering, NCTU

Department of Communication Engineering, NCTU What is a digital system? A system that implements functions using digital logics Department of Communication Engineering, NCTU

Department of Communication Engineering, NCTU Even a power plant control system is a digital system Department of Communication Engineering, NCTU

Department of Communication Engineering, NCTU What are the constitutional blocks of a digital system? It has input interfaces Key boards, antennas, wire jackets, sensors and microphones It also has output interferences Monitors, speakers, motors, printers, antennas, wire jackets and actuators It definitely has signal processing units, or, in other words, arithmetic and logic units Department of Communication Engineering, NCTU

Department of Communication Engineering, NCTU How to develop a digital system? First, it is not only designing a digital circuit A digital circuit is designed to realize functions that serve systems’ requirements A system may involves knowledge of control theories, communications, biology, mechanics, chemistries and etc. Digital circuits can be categorized into General purpose circuits Computers, Digital signal processors Application specific circuits Modems, GPS, cellphones Department of Communication Engineering, NCTU

Department of Communication Engineering, NCTU How to develop a digital circuit? We need knowledge about digital logic We need tools to explore the ideas, to simulations the operational conditions, to realize circuits and to validate functionalities We need platforms to field test, emulate, the designs Do we have a language that can specify these purposes? This language is called hardware description language (HDL), e.g. VHDL and Verilog HDL In addition to language, we need translators, simulators, synthesizers and hardware evaluation and development systems Department of Communication Engineering, NCTU

Department of Communication Engineering, NCTU Translators : To compile VHDL or Verilog HDL languages into a language that can be understand by a computer Simulators: To simulate the system response according to input signals Synthesizers: To synthesize VHDL or Verilog language into digital circuits Validations: Digital circuit development systems Department of Communication Engineering, NCTU

Department of Communication Engineering, NCTU Digital circuits developments There are many of them Stratix EP1S25 DSP Development Board Data Sheet Stratix EP1S25 DSP Development Board User Guide Department of Communication Engineering, NCTU

Department of Communication Engineering, NCTU What we are going to learn? Design a general purpose logic circuit Design a application specific logic circuit Systematic ways of designing digital systems More advanced topics in logic designs Modeling logic problems with HDLs Simulating logic functions Synthesizing logic circuits with HDLs Validating designs with programmable logic devices on a hardware development system The concept of a digital system Department of Communication Engineering, NCTU