GREEN COMPUTING Power Consumption Basics in ICT Products

Slides:



Advertisements
Similar presentations
Subthreshold SRAM Designs for Cryptography Security Computations Adnan Gutub The Second International Conference on Software Engineering and Computer Systems.
Advertisements

Reducing Leakage Power in Peripheral Circuits of L2 Caches Houman Homayoun and Alex Veidenbaum Dept. of Computer Science, UC Irvine {hhomayou,
Leakage Energy Management in Cache Hierarchies L. Li, I. Kadayif, Y-F. Tsai, N. Vijaykrishnan, M. Kandemir, M. J. Irwin, and A. Sivasubramaniam Penn State.
Managing Static (Leakage) Power S. Kaxiras, M Martonosi, “Computer Architecture Techniques for Power Effecience”, Chapter 5.
Keeping Hot Chips Cool Ruchir Puri, Leon Stok, Subhrajit Bhattacharya IBM T.J. Watson Research Center Yorktown Heights, NY Circuits R-US.
Power Reduction Techniques For Microprocessor Systems
Trends and Perspectives in deep-submicron IC design Bram Nauta MESA + Research Institute University of Twente, Enschede, The Netherlands University of.
Adaptive Techniques for Leakage Power Management in L2 Cache Peripheral Circuits Houman Homayoun Alex Veidenbaum and Jean-Luc Gaudiot Dept. of Computer.
Introduction to CMOS VLSI Design Lecture 18: Design for Low Power David Harris Harvey Mudd College Spring 2004.
S. Reda EN160 SP’08 Design and Implementation of VLSI Systems (EN1600) Lecture 14: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
Super-Drowsy Caches Single-V DD and Single-V T Super-Drowsy Techniques for Low- Leakage High-Performance Instruction Caches Nam Sung Kim, Krisztián Flautner,
Institute of Digital and Computer Systems 1 Fabio Garzia / Finding Peak Performance in a Process23/06/2015 Chapter 5 Finding Peak Performance in a Process.
Micro-Architecture Techniques for Sensor Network Processors Amir Javidi EECS 598 Feb 25, 2010.
CSE477 L26 System Power.1Irwin&Vijay, PSU, 2002 Low Power Design in Microarchitectures and Memories [Adapted from Mary Jane Irwin (
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 13: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
1 Drowsy Caches Simple Techniques for Reducing Leakage Power Krisztián Flautner Nam Sung Kim Steve Martin David Blaauw Trevor Mudge
Lecture 5 – Power Prof. Luke Theogarajan
CS 7810 Lecture 13 Pipeline Gating: Speculation Control For Energy Reduction S. Manne, A. Klauser, D. Grunwald Proceedings of ISCA-25 June 1998.
Lecture 7: Power.
Lecture 7: Power.
Power-Aware Computing 101 CS 771 – Optimizing Compilers Fall 2005 – Lecture 22.
Power-aware Computing n Dramatic increases in computer power consumption: » Some processors now draw more than 100 watts » Memory power consumption is.
Lecture 21, Slide 1EECS40, Fall 2004Prof. White Lecture #21 OUTLINE –Sequential logic circuits –Fan-out –Propagation delay –CMOS power consumption Reading:
Low Power Design of Integrated Systems Assoc. Prof. Dimitrios Soudris
Power, Energy and Delay Static CMOS is an attractive design style because of its good noise margins, ideal voltage transfer characteristics, full logic.
The CMOS Inverter Slides adapted from:
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
CS 423 – Operating Systems Design Lecture 22 – Power Management Klara Nahrstedt and Raoul Rivas Spring 2013 CS Spring 2013.
1 Copyright © 2012, Elsevier Inc. All rights reserved. Chapter 1 Fundamentals of Quantitative Design and Analysis Computer Architecture A Quantitative.
6.893: Advanced VLSI Computer Architecture, September 28, 2000, Lecture 4, Slide 1. © Krste Asanovic Krste Asanovic
EE466: VLSI Design Power Dissipation. Outline Motivation to estimate power dissipation Sources of power dissipation Dynamic power dissipation Static power.
CSE477 L26 System Power.1Irwin&Vijay, PSU, 2002 TKT-1527 Digital System Design Issues Low Power Techniques in Microarchitectures and Memories Mary Jane.
EZ-COURSEWARE State-of-the-Art Teaching Tools From AMS Teaching Tomorrow’s Technology Today.
17 Sep 2002Embedded Seminar2 Outline The Big Picture Who’s got the Power? What’s in the bag of tricks?
Low Power Techniques in Processor Design
Chalmers University of Technology FlexSoC Seminar Series – Page 1 Power Estimation FlexSoc Seminar Series – Daniel Eckerbert
Lecture 03: Fundamentals of Computer Design - Trends and Performance Kai Bu
1 Overview 1.Motivation (Kevin) 1.5 hrs 2.Thermal issues (Kevin) 3.Power modeling (David) Thermal management (David) hrs 5.Optimal DTM (Lev).5 hrs.
Last Time Performance Analysis It’s all relative
Basics of Energy & Power Dissipation Lecture notes S. Yalamanchili, S. Mukhopadhyay. A. Chowdhary.
Sogang University Advanced Computing System Chap 1. Computer Architecture Hyuk-Jun Lee, PhD Dept. of Computer Science and Engineering Sogang University.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
1 CS/EE 6810: Computer Architecture Class format:  Most lectures on YouTube *BEFORE* class  Use class time for discussions, clarifications, problem-solving,
[Tim Shattuck, 2006][1] Performance / Watt: The New Server Focus Improving Performance / Watt For Modern Processors Tim Shattuck April 19, 2006 From the.
Washington State University
Guy Lemieux, Mehdi Alimadadi, Samad Sheikhaei, Shahriar Mirabbasi University of British Columbia, Canada Patrick Palmer University of Cambridge, UK SoC.
Leakage reduction techniques Three major leakage current components 1. Gate leakage ; ~ Vdd 4 2. Subthreshold ; ~ Vdd 3 3. P/N junction.
Basics of Energy & Power Dissipation
Lev Finkelstein ISCA/Thermal Workshop 6/ Overview 1.Motivation (Kevin) 2.Thermal issues (Kevin) 3.Power modeling (David) 4.Thermal management (David)
© Digital Integrated Circuits 2nd Inverter Digital Integrated Circuits A Design Perspective The Inverter Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.
Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 6.1 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng.
Chapter 11 System Performance Enhancement. Basic Operation of a Computer l Program is loaded into memory l Instruction is fetched from memory l Operands.
CS203 – Advanced Computer Architecture
LOW POWER DESIGN METHODS
Overview Motivation (Kevin) Thermal issues (Kevin)
CS203 – Advanced Computer Architecture
Temperature and Power Management
LOW POWER DESIGN METHODS V.ANANDI ASST.PROF,E&C MSRIT,BANGALORE.
SECTIONS 1-7 By Astha Chawla
Hot Chips, Slow Wires, Leaky Transistors
Basics of Energy & Power Dissipation
Architecture & Organization 1
Circuits and Interconnects In Aggressively Scaled CMOS
Reading: Hambley Ch. 7; Rabaey et al. Sec. 5.2
Architecture & Organization 1
Computer Architecture Lecture 4 17th May, 2006
Lecture 7: Power.
Lecture 7: Power.
The University of Adelaide, School of Computer Science
Utsunomiya University
Presentation transcript:

GREEN COMPUTING Power Consumption Basics in ICT Products Maziar Goudarzi

Outline Metrics Energy consumption in ICT products Some common energy optimization techniques Acknowledgements: Some slides/parts from http://www.ida.liu.se/~TDDD50/

Electrical Units

Power Metrics

Performance related energy metrics Energy-per-instruction (EPI) Energy spent to execute an instruction Used to compare micro-architectural traits Sometimes to model software consumption Not all the instructions consume the same Application energy consumption Power vs. Time

Comparing CPU energies Example: Same program, AMD CPU, 2GHz, 150W, 10s Intel CPU, 2.5GHz, 200W, 8s Which one is better? Another (perhaps better) example Same program Atom processor, 1.5GHz, 10W, 20s Core i7 processor, 2GHz, 55W, 5s

Performance related energy metrics Energy delay product (EDP) Encourages low consumption and fast runtime Energy or delay increase → EDP increases EDP = Watts * runtime2 Energy = Watts * runtime Delay = runtime

Outline Metrics Energy consumption in ICT products Some common energy optimization techniques

Power Consumption Fundamentals Most widely used technology today CMOS (complementary Metal Oxide Semiconductor) technology Technology name Minimum feature size: 65nm, 45nm, … Latest technology?

Power Consumption Fundamentals Elements of power consumption Dynamic power Dissipated when charging /discharging capacitors Inevitable! Static power Leakage Total waste! Was negligible until recently Increased with technology scaling (<180nm) 20 to 40% in today processors AMD Opteron X2: 300mm wafer, 117 chips, 90nm technology Opteron X4: 45nm technology

CMOS Leakage Transistor is not a perfect digital switch! Subthreshold leakage Gate leakage -> high-k dielectric Junction leakage

Subthreshold Leakage Subthreshold leakage depends on

Outline Metrics Energy consumption in ICT products Some common energy optimization techniques Static power reduction Dynamic power reduction

Leakage reduction techniques Subthreshold leakage depends on Architectural techniques to reduce leakage Stacking effect and gated Vdd Drowsy effect Threshold voltage manipulation

Stacking effect and gated Vdd Connection of transistors in series source to drain Reduces the Vds of each transistor Popular stacking technique: Gated Vdd Sleep transistor gates the ground (disconnects power supply)

Gated Vdd for SRAM Dynamically Resized Instruction Cache Cache decay Disable individual lines Managed with counters to estimate dead lines Disabled lines lose the state Expensive management Stefanos Kaxiras, Zhigang Hu, Margaret Martonosi, Cache Decay: Exploiting Generational Behavior to Reduce Cache Leakage Power, ISCA, 2001.

Drowsy effect Voltage-scale of idle memory cells Two levels of supply voltage (Vdd and VddLow) Transistors leak much less than with full Vdd No loss of memory state High level policies for drowsy caches No need for complex management mechanisms Reading delay (cell voltage scaled back to Vdd) Worst case are few cycles of delay Examples Simple: whole cache periodically put in drowsy mode Petit et al.: Simple with heuristics, such as avoid setting the Most Recently Used (MRU) line to drowsy mode

Threshold voltage manipulation The lower the VT, the higher the leakage Technology scaling enforces Reduce Vdd to reduce power consumption and temperature Reduce VT to reduce delay Architectural level techniques Combination of high-VT and low-VT devices High-VT : low leakage, long latency Low-VT : high leakage, short latency Gated-Vdd using a high-VT device

Variable Threshold CMOS Body Biasing Body effect to change device Vth Standby leakage reduction with maximum reverse bias Triple well structure http://mtlweb.mit.edu/researchgroups/icsystems/pubs/tutorials/jkao_2002_iccad_I.pdf

Outline Metrics Energy consumption in ICT products Some common energy optimization techniques Static power reduction Dynamic power reduction

Capacitance and switching activity Capacitance and Switching factor intertwined P=C⋅V2⋅A⋅f Capacitance (C) Fixed at design time Dependant on number of transistors Interconnections Switching activity or factor (A) Fraction between 0 and 1 Factor of capacitance charged/discharged each CPU cycle

Capacitance Description of capacitance (Burd and Brodersen) CL=CW + Cfixed CW: Product of technology constant and device width Optimized at circuit level Cfixed: Capacitance of the interconnections Optimized at architectural level Reduction of wire length Effective placement and routing (locality) Break up large memory banks in smaller chunks

Excess switching activity Avoidable charge/discharge activity Types Idle-unit Idle-width Idle-capacity Parallel-speculative Cacheable Speculative

Idle-unit switching activity Triggered by clock activity in unused units

Idle-width switching activity Processor structures wider than needed Example Units with support for 64 bit operands Most common operations use 16 bit operands Solutions Adapt width of machine according to operands Pack multiple narrow-width operations

Width adaptation

Width adaptation

Idle-capacity switching activity Over-provisioned processor resources Resource partitioning or re-sizing Grounds Wire delay increases as technology scale decreases Long wires imply Non affordable delay High capacitance and consumption Buffered wires reduce circuit delay

Complexity-adaptive structures Complexity-adaptive structures (Albonesi) Trade latency & consumption with capacity Structures become faster as they become smaller Solution Partitions with tri-state buffers When structures are reduced Faster processing Less energy consumed Suitable for SRAM

Parallel speculative switching activity Parallel activity is spent for performance Associative caches All but one associative ways fail to produce a hit All ways are accessed in parallel for speed Solution: Smart way access approaches

Phased Cache

Sequential cache

Cache Way Memorization Upon failure

Voltage-Frequency Scaling Basic dynamic power equation: P = C⋅V2⋅A⋅f Voltage reduction decreases power by the square of it Maximum frequency is limited by voltage Potential cubic reduction in power dissipation Considering f and V Performance decreases linearly

Dynamic voltage/frequency scaling (DVFS) Dynamic adjustment of voltage/frequency Tradeoff power dissipation / performance DVFS decision level Hardware level Exploits different timings of hardware components Program level Program behavior drives decision E.g. scale down when program knows that has to wait System level (OS) Idleness of the system drives decision Voltage/frequency scaled to eliminate idle periods

Dynamic voltage/frequency scaling (DVFS) Examples of commercial systems Intel SpeedStep AMD PowerNow! (for laptops) Cool'n'Quiet (for desktop and servers) Decision taken at system level Changes through specific CPU register Enhanced Intel ® SpeedStep ® Technology for the Intel ® Pentium ® M Processor (White Paper) http://download.intel.com/design/network/papers/30117401.pdf

تمرین اضافی روی کامپیوتر شخصی خود DVFS روی پردازنده را اعمال کرده و میزان مصرف توان آن را تحت کاربردهای مختلف اندازه گیری نمایید. میزان مصرف توان پردازنده را جدا از توان مصرفی دیگر اجزا گزارش کنید. چه اثری مشاهده می کنید؟

Coming Next Power Aware Computing Higher-level power reduction techniques