Digital System Design Subject Name : Digital System Design Course Code : IT-314.

Slides:



Advertisements
Similar presentations
UNIT 2: Data Flow description
Advertisements

HDL Programming Fundamentals
ENEL111 Digital Electronics
CMSC 611: Advanced Computer Architecture
COE 405 VHDL Basics Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Dr. Aiman H. El-Maleh Computer Engineering.
Introduction To VHDL for Combinational Logic
Fundamental Concepts 大同大學 資訊工程系 副教授
Digital Design with VHDL Presented by: Amir Masoud Gharehbaghi
VHDL1 INTRODUCTION TO VHDL (VERY-HIGH-SPEED-INTEGRATED-CIRCUITS HARDWARE DESCRIPTION LANGUAGE) KH WONG (Some pictures are obtained from FPGA Express VHDL.
02/02/20091 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
Combinational Logic Design Sections 3-1, 3-2 Mano/Kime.
1 Hardware description languages: introduction intellectual property (IP) introduction to VHDL and Verilog entities and architectural bodies behavioral,
VHDL Quick Start Peter J. Ashenden The University of Adelaide.
1/31/20081 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
HDL-Based Digital Design Part I: Introduction to VHDL (I) Dr. Yingtao Jiang Department Electrical and Computer Engineering University of Nevada Las Vegas.
ECE 331 – Digital System Design Course Introduction and VHDL Fundamentals (Lecture #1)
Digital System Design Verilog ® HDL Maziar Goudarzi.
VLSI Design Lab Introduction
(1) Introduction © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
GOOD MORNING.
EENG 2910 – Digital Systems Design Fall Course Introduction Class Time: M9:30am-12:20pm Location: B239, B236 and B227 Instructor: Yomi Adamo
Design methodology.
Electronic Design Automation. Course Outline 1.Digital circuit design flow 2.Verilog Hardware Description Language 3.Logic Synthesis –Multilevel logic.
VHDL Structured Logic Design School of Electrical Engineering University of Belgrade Department of Computer Engineering Ivan Dugic Veljko.
An Introduction to VHDL Using Altera’s Quartus II IDE Dr. William M. Jones Coastal Carolina University Numbers and Bytes Meeting 20 OCT 2008.
1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :
Principles Of Digital Design Chapter 1 Introduction Design Representation Levels of Abstraction Design Tasks and Design Processes CAD Tools.
VHDL TUTORIAL Preetha Thulasiraman ECE 223 Winter 2007.
TO THE COURSE ON DIGITAL DESIGN FOR INSTRUMENTATION TO THE COURSE ON DIGITAL DESIGN FOR INSTRUMENTATION.
COE 405 Design and Modeling of Digital Systems
ECE 449: Computer Design Lab Coordinator: Kris Gaj TAs: Tuesday session: Pawel Chodowiec Thursday session: Nghi Nguyen.
Modern VLSI Design 3e: Chapters 3 & 8Partly from 2002 Prentice Hall PTR week6-1 Lectures 16 Transfer Characteristics (Delay and Power) Feb. 10, 2003.
CWRU EECS 317 EECS 317 Computer Design LECTURE 1: The VHDL Adder Instructor: Francis G. Wolff Case Western Reserve University.
L12 – VHDL Overview. VHDL Overview  HDL history and background  HDL CAD systems  HDL view of design  Low level HDL examples  Ref: text Unit 10, 17,
Introduction to VHDL Spring EENG 2920 Digital Systems Design Introduction VHDL – VHSIC (Very high speed integrated circuit) Hardware Description.
Fall 2004EE 3563 Digital Systems Design EE 3563 VHSIC Hardware Description Language  Required Reading: –These Slides –VHDL Tutorial  Very High Speed.
Spring 2007 W. Rhett Davis with minor editing by J. Dean Brock UNCA ECE Slide 1 ECE 406 – Design of Complex Digital Systems Lecture 1: Introduction.
Electrical and Computer Engineering University of Cyprus LAB 1: VHDL.
Introduction to VLSI Design – Lec01. Chapter 1 Introduction to VLSI Design Lecture # 11 High Desecration Language- Based Design.
HARDWARE DESCRIPTION LANGUAGE (HDL). What is HDL? A type of programming language for sampling and modeling of electronic & logic circuit designs It can.
M. Balakrishnan Dept of Computer Science & Engg. I.I.T. Delhi
1 Hardware Description Languages: a Comparison of AHPL and VHDL By Tamas Kasza AHPL&VHDL Digital System Design 1 (ECE 5571) Spring 2003 A presentation.
(1) Basic Language Concepts © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
Introduction to VHDL Simulation … Synthesis …. The digital design process… Initial specification Block diagram Final product Circuit equations Logic design.
Chapter 5 Introduction to VHDL. 2 Hardware Description Language A computer language used to design circuits with text-based descriptions of the circuits.
CMSC 611: Advanced Computer Architecture Design & Simulation Languages Practically everything adapted from slides by Peter J. Ashenden, VHDL Quick Start.
ELEE 4303 Digital II Introduction to Verilog. ELEE 4303 Digital II Learning Objectives Get familiar with background of HDLs Basic concepts of Verilog.
04/26/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Introduction to VHDL 12.2: VHDL versus Verilog (Separate File)
5-1 Logic System Design I VHDL Design Principles ECGR2181 Reading: Chapter 5.0, 5.1, 5.3 port ( I: in STD_LOGIC_VECTOR (1 to 9); EVEN, ODD: out STD_LOGIC.
Digital Design Using VHDL and PLDs ECOM 4311 Digital System Design Chapter 1.
Apr. 3, 2000Systems Architecture I1 Introduction to VHDL (CS 570) Jeremy R. Johnson Wed. Nov. 8, 2000.
VHDL ELEC 311 Digital Logic and Circuits Dr. Ron Hayne Images Courtesy of Cengage Learning.
May 9, 2001Systems Architecture I1 Systems Architecture I (CS ) Lab 5: Introduction to VHDL Jeremy R. Johnson May 9, 2001.
Text-books 1. Digital System Design using VHDL by C.H. Roth. 2. Circuit Design with VHDL by Volnei A. Pedroni; Reference Book 1. VHDL Primer by J. Bhasker;
EECE 320 L8: Combinational Logic design Principles 1Chehab, AUB, 2003 EECE 320 Digital Systems Design Lecture 8: Combinational Logic Design Principles.
1 Introduction to Engineering Spring 2007 Lecture 18: Digital Tools 2.
SUBJECT : DIGITAL ELECTRONICS CLASS : SEM 3(B) TOPIC : INTRODUCTION OF VHDL.
EMT 351/4 DIGITAL IC DESIGN Week # 1 EDA & HDL.
Introduction to design with VHDL
Basic Language Concepts
Systems Architecture Lab: Introduction to VHDL
Design Entry: Schematic Capture and VHDL
Introduction to Programmable Logic
Peter J. Ashenden The University of Adelaide
CMSC 611: Advanced Computer Architecture
VHDL Introduction.
HIGH LEVEL SYNTHESIS.
Hardware Modeling & Synthesis Using VHDL
Digital Designs – What does it take
VHDL - Introduction.
Presentation transcript:

Digital System Design Subject Name : Digital System Design Course Code : IT-314

Text-books 1.Digital System Design using VHDL by C.H. Roth. 2.Circuit Design with VHDL by Volnei A. Pedroni;

Reference Book 1.VHDL Primer by J. Bhasker; Addison Wesley Longman Pub. 2. Introduction to Digital Systems by M. Ercegovec, T. Lang and L.J. Moreno; Wiley 3.VHDL: Analysis & Modeling of Digital Systems by Z. Navabi; MGH 4.VHDL Programming by Examples by Douglas L. Perry; TMH 5.VHDL by Douglas Perry 6.The Designer Guide to VHDL by P.J. Ashendem; Morgan Kaufmann Pub. 7.Digital System Design with VHDL by Mark Zwolinski; Prentice Hall Pub. 8.Digital Design Principles and Practices by John F. Wakerly, Prentice Hall (third Edition) 2001 includes Xilinx student edition).

Overview What is digital system design? –Use of available digital components Microprocessor, e.g. Pentium Micro-controller, e.g Digital processing units, e.g. counters, shift registers. –Combine them to become a useful system

Programmable logic vs. microcontrollers in prototyping In some situation you can design a digital system using programmable logic or microcontrollers Programmable logic – more general and flexible, economic for mass production Microcontrollers – more specific and less flexible, cost more in mass production

VHDL What is VHDL? V H I S C Very High Speed Integrated Circuit Hardware Description Language IEEE Standard

History of VHDL Designed by IBM, Texas Instruments, and Intermetrics as part of the DoD funded VHSIC program Standardized by the IEEE in 1987: IEEE Enhanced version of the language defined in 1993: IEEE Additional standardized packages provide definitions of data types and expressions of timing data –IEEE 1164 (data types) –IEEE (numeric) –IEEE (timing)

Traditional vs. Hardware Description Languages Procedural programming languages provide the how or recipes –for computation –for data manipulation –for execution on a specific hardware model Hardware description languages describe a system –Systems can be described from many different points of view Behavior: what does it do? Structure: what is it composed of? Functional properties: how do I interface to it? Physical properties: how fast is it?

Usage Descriptions can be at different levels of abstraction –Switch level: model switching behavior of transistors –Register transfer level: model combinational and sequential logic components –Instruction set architecture level: functional behavior of a microprocessor Descriptions can used for –Simulation Verification, performance evaluation –Synthesis First step in hardware design

Why do we Describe Systems? Design Specification –unambiguous definition of components and interfaces in a large design Design Simulation –verify system/subsystem/chip performance prior to design implementation Design Synthesis –automated generation of a hardware design

Digital System Design Flow Design flows operate at multiple levels of abstraction Need a uniform description to translate between levels Increasing costs of design and fabrication necessitate greater reliance on automation via CAD tools –$5M - $100M to design new chips –Increasing time to market pressures

A Synthesis Design Flow Requirements Functional Design Register Transfer Level Design Synthesis Place and Route Timing Extraction VHDL Model ( VHDL ) VHDL Model Logic Simulation Behavioral Simulation Automation of design refinement steps Feedback for accurate simulation Example targets: ASICs, FPGAs

The Role of Hardware Description Languages cells modules chips boards algorithms register transfers Boolean expressions transfer functions processors registers gates transistors PHYSICAL BEHAVIORAL STRUCTURAL [Gajski and Kuhn] Design is structured around a hierarchy of representations HDLs can describe distinct aspects of a design at multiple levels of abstraction

Domains and Levels of Modeling high level of abstraction Functional Structural Geometric Y-chart due to Gajski & Kahn low level of abstraction

Domains and Levels of Modeling Functional Structural Geometric Y-chart due to Gajski & Kahn Algorithm (behavioral) Register-Transfer Language Boolean Equation Differential Equation

Domains and Levels of Modeling Functional Structural Geometric Y-chart due to Gajski & Kahn Processor-Memory Switch Register-Transfer Gate Transistor

Domains and Levels of Modeling Functional Structural Geometric Y-chart due to Gajski & Kahn Polygons Sticks Standard Cells Floor Plan

Basic VHDL Concepts Interfaces Modeling (Behavior, Dataflow, Structure) Test Benches Analysis, elaboration, simulation Synthesis

Basic Structure of a VHDL File Entity –Entity declaration: interface to outside world; defines input and output signals –Architecture: describes the entity, contains processes, components operating concurrently

Entity Declaration entity NAME_OF_ENTITY is port (signal_names: mode type; signal_names: mode type; : signal_names: mode type); end [NAME_OF_ENTITY] ; NAME_OF_ENTITY: user defined signal_names: list of signals (both input and output) mode: in, out, buffer, inout type: boolean, integer, character, std_logic

Architecture Behavioral Model: architecture architecture_name of NAME_OF_ENTITY is -- Declarations ….. begin -- Statements end architecture_name;

Half Adder library ieee; use ieee.std_logic_1164.all; entity half_adder is port( x,y: in std_logic; sum, carry: out std_logic); end half_adder; architecture myadd of half_adder is begin sum <= x xor y; carry <= x and y; end myadd;

Entity Examples … entity half_adder is port( x,y: in std_logic; sum, carry: out std_logic); end half_adder; FULL ADDER ABCABC SUM CARRY

Architecture Examples: Behavioral Description Entity FULLADDER is port ( A, B, C: in std_logic ; SUM, CARRY: in std_logic ); end FULLADDER; Architecture CONCURRENT of FULLADDER is begin SUM <= A xor B xor C after 5 ns; CARRY <= (A and B) or (B and C) or (A and C) after 3 ns; end CONCURRENT;

Architecture Examples: Structural Description … architecture STRUCTURAL of FULLADDER is signal S1, C1, C2 : bit; component HA port (I1, I2 : in bit; S, C : out bit); end component; component OR port (I1, I2 : in bit; X : out bit); end component; begin INST_HA1 : HA port map (I1 => B, I2 => C, S => S1, C => C1); INST_HA2 : HA port map (I1 => A, I2 => S1, S => SUM, C => C2); INST_OR : OR port map (I1 => C2, I2 => C1, X => CARRY); end STRUCTURAL; I 1 S HA I2 C I 1 S HA I2 C I 1 OR I2 x A C B CARRY SUM S1S1 C1C1 C2C2

… Architecture Examples: Structural Description Entity HA is PORT (I1, I2 : in bit; S, C : out bit); end HA ; Architecture behavior of HA is begin S <= I1 xor I2; C <= I1 and I2; end behavior; Entity OR is PORT (I1, I2 : in bit; X : out bit); end OR ; Architecture behavior of OR is begin X <= I1 or I2; end behavior;

One Entity Many Descriptions A system (an entity) can be specified with different architectures Entity Architecture A Architecture B Architecture C Architecture D

Test Benches Testing a design by simulation Use a test bench model –an architecture body that includes an instance of the design under test –applies sequences of test values to inputs –monitors values on output signals either using simulator or with a process that verifies correct operation