G. Parès – A. Berthelot CEA-Leti-Minatec 7-06-2013 GU project status.

Slides:



Advertisements
Similar presentations
Silicon Wafer in Production: Type and Specification By Assoc. Prof. Dr. Uda Hashim School of Microelectronic Engineering.
Advertisements

Center for Materials and Electronic Technologies
ECFA-DESY meeting, Krakow, 16 th September 2001Václav Vrba, Institute of Physics, AS CR 1 Václav Vrba Institute of Physics AS CR, Prague Silicon pad sensors.
MetalMUMPs Process Flow
INTEGRATED CIRCUITS Dr. Esam Yosry Lec. #6.
1 Kimberly Manser Process Development for Double-Sided Fabrication of a Photodiode Process Development of a Double-Sided Photodiode (for application.
WP6 interconnect technology part
SOIMUMPs Process Flow Keith Miller Foundry Process Engineer.
Tom McMullen Week 5 4/3/2013 – 8/3/2013. LETI wafer thinning project flow Phase 1 IDProject NameOwnerDaysStartEnd 3-Feb10-Feb17-Feb24-Feb3-Mar10-Mar17-Mar24-Mar31-Mar5-Apr12-Apr19-Apr26-Apr.
Tom McMullen Week 9 1/4/2013 – 5/4/2013. LETI wafer thinning project flow Phase 1 IDProject NameOwnerDaysStartEnd 3-Feb10-Feb17-Feb24-Feb3-Mar10-Mar17-Mar24-Mar31-Mar5-Apr12-Apr19-Apr26-Apr.
Tom McMullen Week 6 11/3/2013 – 15/3/2013. LETI wafer thinning project flow Phase 1 IDProject NameOwnerDaysStartEnd 3-Feb10-Feb17-Feb24-Feb3-Mar10-Mar17-Mar24-Mar31-Mar5-Apr12-Apr19-Apr26-Apr.
Tom McMullen Week 3 25/2/2013 – 1/3/2013. LETI wafer thinning project flow Phase 1 IDProject NameOwnerDaysStartEnd 3-Feb10-Feb17-Feb24-Feb3-Mar10-Mar17-Mar24-Mar31-Mar5-Apr12-Apr19-Apr26-Apr.
3D technologies at Leti: Application to high energy CMOS sensors
20th RD50 Workshop (Bari)1 G. PellegriniInstituto de Microelectrónica de Barcelona G. Pellegrini, C. Fleta, M. Lozano, D. Quirion, Ivan Vila, F. Muñoz.
Status and outlook of the Medipix3 TSV project
3D chip and sensor Status of the VICTOR chip and associated sensor Bonding and interconnect of chip and sensor Input on sensor design and interconnection.
Plans for Demonstrator Flip Chip Bonding GTK meeting 9/12/08 1.
J. Salonen, “Flip Chip Bumping Process at VTT" [presentation for GPG], 16-March-2007 Flip Chip/Bumping Process at VTT Last modified March 16, 2007 By Jaakko.
WP7&8 Progress Report ITS Plenary meeting, 23 April 2014 LG, PK, VM, JR Objectives 2014 and current status.
Tom McMullen Week 3 18/2/2013 – 22/2/2013. LETI wafer thinning project flow Phase 1 IDProject NameOwnerDaysStartEnd 3-Feb10-Feb17-Feb24-Feb3-Mar10-Mar17-Mar24-Mar31-Mar5-Apr12-Apr19-Apr26-Apr.
McGill Nanotools Microfabrication Processes
Fabrication of Active Matrix (STEM) Detectors
MonolithIC 3D Inc., Patents Pending MonolithIC 3D ICs RCAT approach 1 MonolithIC 3D Inc., Patents Pending.
1 Moore’s Law – the Z dimension Sergey Savastiouk, Ph.D. April 12, 2001.
Tom McMullen Weeks 1 and 2 4/2/2013 – 15/2/2013. LETI wafer thinning project flow Phase 1 IDProject NameOwnerDaysStartEnd 3-Feb10-Feb17-Feb24-Feb3-Mar10-Mar17-Mar24-Mar31-Mar5-Apr12-Apr19-Apr26-Apr.
Fabian Hügging – University of Bonn – February WP3: Post processing and 3D Interconnection M. Barbero, L. Gonella, F. Hügging, H. Krüger and.
D. Henry / CEA-Leti-Minatec Contibuting authors : A. Berthelot / R. Cuchet / G. Simon / Y. Lamy / P. Leduc / J. Charbonnier AIDA Meeting / 08 & 09th of.
Comparison of various TSV technology
1 Absolute Pressure Sensors Z. Celik-Butler, D. Butler and M. Chitteboyina Nanotechnology Research and Teaching Facility University of Texas at Arlington.
From hybrids pixels to smart vertex detectors using 3D technologies 3D microelectronics technologies for trackers.
P. Riedler- GGT Meeting 3/4/20061 Status of Sensor Irradiation and Bump Bonding P. Riedler, G. Stefanini P. Dalpiaz, M. Fiorini, F. Petrucci.
Dummy and Pad Chips Needed for various activities (interconnection tests, mass tests, assembly,…) Production of masks, processing, thinning and dicing.
Fabrication Technology(1)
Tom McMullen Period 3 Week 9 8/4/2013 – 12/4/2013.
DESIGN CONSIDERATIONS FOR CLICPIX2 AND STATUS REPORT ON THE TSV PROJECT Pierpaolo Valerio 1.
Project Update June 22, 2006 ME342A. Project Goal Design a bioMEMs substrate to apply and measure electromechanical forces in the differentiation of human.
Haga clic para modificar el estilo de texto del patrón Infrared transparent detectors Manuel Lozano G. Pellegrini, E. Cabruja, D. Bassignana, CNM (CSIC)
1 InGrid: the integration of a grid onto a pixel anode by means of Wafer Post Processing technology 16 April 2008 Victor M. Blanco Carballo.
Low Mass Rui de Oliveira (CERN) July
IEEE Nuclear Science Symposium Roma Oct.2004
LPICM slides for WP3 Wanghua Chen and Pere Roca i Cabarrocas
Tom McMullen Week 7 18/3/2013 – 22/3/2013. LETI wafer thinning project flow Phase 1 IDProject NameOwnerDaysStartEnd 3-Feb10-Feb17-Feb24-Feb3-Mar10-Mar17-Mar24-Mar31-Mar5-Apr12-Apr19-Apr26-Apr.
Tom McMullen Week 8 25/3/2013 – 29/3/2013. LETI wafer thinning project flow Phase 1 IDProject NameOwnerDaysStartEnd 3-Feb10-Feb17-Feb24-Feb3-Mar10-Mar17-Mar24-Mar31-Mar5-Apr12-Apr19-Apr26-Apr.
D. Henry / CEA-Leti-Minatec Contibuting authors : A. Berthelot (LETI) / R. Cuchet (LETI) / J. Alozy (CERN) / M. Campbell (CERN) AIDA Meeting / 08 & 09th.
Simon Eckert, University of Freiburg 8th RD50 Workshop in Prague June 25th – 28th 2006 Rebondable Fan Ins S. Eckert¹, T.Ehrich¹, A.Fauler², K.Jakobs¹,
Silicon Design Page 1 The Creation of a New Computer Chip.
Giulio Pellegrini 27th RD50 Workshop (CERN) 2-4 December 2015 Centro Nacional de MicroelectrónicaInstituto de Microelectrónica de Barcelona 1 Status of.
G. Ruggiero / TOTEM 1 Si Edgeless Detectors in the RPs Edgeless detector (on the old “AP25 module”) active edges (“planar/3D”) planar tech. with CTS (Current.
1ICC Proprietary MEMS DEVICE WAFER LEVEL PACKAGING TECHNICAL PRESENTATION Customer Device Wafer.
Process integration Wafer selection active role for the wafer ? passive role ? –thermal conductivity –optical transparency –flat,
The medipix3 TSV project
EE412 Project: Corrosion resistant ALD coatings Alex Haemmerli and Joey Doll Mentor: J Provine.
Infrastructure & issues and solutions for ATLAS Upgrade Hybrid/Module strip bonding Fred Doherty, Joe Ashby, Fiona McEwan & Calum Gray ATLAS Upgrade Module.
HV2FEI4 and 3D A.Rozanov CPPM 9 December 2011 A.Rozanov.
Process integration 2: double sided processing, design rules, measurements
Wisconsin Center for Applied Microelectronics
Infineon CoolIR2DieTM Power Module
Alternative process flows for reduction of steps
Bonding interface characterization devices
ALICE PD group meeting Andrea Francescon.
Micro-cooling devices for LHCb Velo CERN
Development of thin pixel modules using novel 3D Processing techniques 9th Trento Workshop, Genoa 2014 T.McMullen1, G.Pares2, L.Vignoud2, R.Bates1, C.Buttar1.
CERN & LAL contribution to AIDA2020 WP4 on interconnections: Pixel module integration using TSVs and direct laser soldering Malte Backhaus, Michael Campbell,
Report from CNM activities
ATLAS Upgrade Module Bonding Trials Using Glass Asics
Process flow part 2 Develop a basic-level process flow for creating a simple MEMS device State and explain the principles involved in attaining good mask.
Memscap - A publicly traded MEMS company
EUDET Extended SC meeting 31 August 2009
Photolithography.
PiezoMEMS Foundry to Support Research Projects
Presentation transcript:

G. Parès – A. Berthelot CEA-Leti-Minatec GU project status

© CEA. All rights reserved LETI proposal | 2  Objectives :  To supply thin wafers in order to test the dicing & the stacking of a detector on read out chip for ATLAS experiment  Project requirements :  Process on FE I4 functional wafers / 200 mm  Need to have interconnects between ROIC and detector  µbumps  Thin ROIC required  100 µm  Wafers debonding  Dicing & detector stacking will be done by Advacam (SME, spin-off of VTT)  Wafers bow measurements done by Scottish Microelectronic Center (SMC), GU leading  Incoming wafers  Wafer diameter: 200mm  Wafer thickness: ~725um  IC Technology: 130 nm / IBM  Number of wafers provided: 10 Customer initial requirements Final component 100 µm

© CEA. All rights reserved LETI proposal | 3 Process flow proposal – Runs 1 & 2 Taping & delivering to SMC for bow measurements Incoming wafers Temporary bonding Debonding Front side µbumps Thinning to 100 µm RUN 1 : delivering of two wafers to Advacam RUN 2 : delivering of two debonded thin wafers to SMC

© CEA. All rights reserved LETI proposal | 4 Process flow proposal – Run 3: R&D Incoming wafers Temporary bonding Debonding Front side µbumps Thinning to 100µm or more if needed Stress compensation layer RUN 3 : delivering of two debonded thin wafers + stress compensation layer to SMC Taping & delivering to SMC

© CEA. All rights reserved LETI proposal | 5 Process flow proposal – Runs 4 & 5 : R&D Debonding Thinning to 100µm or more if needed Optimized Stress compensation layer RUN 4 : delivering of two debonded thin wafers + stress compensation optimized layer to SMC Taping & delivering to SMC RUN 5 : delivering of two debonded thin wafers + stress compensation optimized layer to Advacam

© CEA. All rights reserved LETI proposal | 6  Technical proposal  Layout of Micro-bumps level  RUN 1 : Delivery to Advacam of two FE I4 wafers with µbumps on front side / without thinning (725 µm)  D1  RUN 2 : Delivery to SMC of two FE I4 thinned wafers (100 µm) + µbumps on front side – D2  RUN 3 : Delivery to SMC of two FE I4 thinned wafers + backside stress compensation + µbumps on front side  D3  RUN 4 : Delivery to SMC of two FE I4 thinned wafers + Optimized backside stress compensation + µbumps on front side  D4  RUN 5 : Delivery to Advacam of two FE I4 thinned wafers + Optimized backside stress compensation + µbumps on front side  D5  Optimized thickness of Si will be adapted after run2 if needed (150µm possible).  Stress compensation layer will be chosen in the LETI materials according to SMC wafer bow/warpage measurements / One single trial, no specific stress study.  Every functional wafers will be chipped with dummies wafers.  LETI needs :  GDS files of FE I4 chips : top metal & passivation  FE i4 Wafer mapping / wafer step plan  Layer table  Ten FE I4 wafers (10) LETI proposal

© CEA. All rights reserved LETI proposal | 7  Proposed planning / 8 months duration Planning & deliverables proposal  Deliverables :  D1 : µbumps GDS files + 2 FEI4 wafers (725µm) with µbumps on front side  D2 : 2 thinned FEI4 wafers to 100 µm with µbumps on front side / debonded on tape  D3 : 2 thinned FEI4 wafers to 100 µm with µbumps on front side + stress compensation layer / debonded on tape  D4 : 2 thinned FEI4 wafers to 100 µm with µbumps on front side + optimized stress compensation layer / debonded on tape  D5 : 2 thinned FEI4 wafers to 100 µm with µbumps on front side + stress compensation layer / debonded on tape / Advacam

© CEA. All rights reserved LETI proposal | 8  Financial proposal :  µbumps design, layout & mask  3D technology on ten FEI4 wafers provided by Glasgow University including :  µbumps on front side  Temporary bonding  Si thinning  Stress compensation layer implementation  Debonding & taping  Shipping to SMC and Advacam  Five different runs  Total price : €  Payment conditions :  /03/2013  the end of the project Financial proposal

© CEA. All rights reserved LETI proposal | 9 RUN1 results  2 wafers with copper µbumps  Start date = 8/03/13  Shipping date to advacam = 23/04/13 Incoming wafers Front side µbumps RUN 1 : delivering of two wafers to Advacam

© CEA. All rights reserved LETI proposal | 10 Process Flow -Control -Back side and front side cleaning -Preclean + seed layer deposition Ti 100nm + Cu 400nm -Photolithography « µBump », negative photoresist -Flash O2 -ECD Cu 10µm + SAC 8µm -Stripping -Cu 400nm + Ti 100nm wet etch -SAC reflow

© CEA. All rights reserved LETI proposal | 11 Control before process  2 wafers provided by Glasgow university  Control before process: some particles have been observed  A front side specific cleaning has been performed  number of particles decreased Observations before process

© CEA. All rights reserved LETI proposal | 12 µBump litho  The position of alignment marks designed by LETI is not correct  Alignment of µbump level has been performed on the metal pads directly  OK  µbump diameter=30µm Observations after the photolithography step

© CEA. All rights reserved LETI proposal | 13 µBump ECD Cu-SAC  ECD Cu 10µm + SAC 8µm  Strip + µbump height measurements:  P24: mean=17.33µm – unif = 3.18%  P25: mean=17.48 – unif=4.08% µbumps height measurements after ECD step (wafer map on the 2 wafers)

© CEA. All rights reserved LETI proposal | 14 µBump after SAC reflow  Seed layer etch + SAC reflow  2 probes resistance measurement =~2.5 Ohm on 2 µbump chain on top metal Observations after SAC reflow

© CEA. All rights reserved LETI proposal | 15 RUN2/3 status  4 wafers with copper µbumps + temporary bonding + thinning 100 µm + stress compensation (run 3)  Start date = 12/04/13 (1 month delay vs RUN1)  Incoming inspection = some big particules  Current status seed removal after µbump Cu/SAC ECD  Short loop with µbumps/Si monitors for temporary bonding and thinning setup in progress

© CEA. All rights reserved LETI proposal | 16 Control before process  4 wafers provided by Glasgow university  Control before process: some particles have been observed  A front side specific cleaning has been performed  number of particles decrease Observations before process Al Pad ok P22 = VUAYCRH (particles) P23 = ABPJXGH P24 = V7B8WTH P25 = VKB8WFH (particles) P22 P25 P24

© CEA. All rights reserved LETI proposal | 17 µBump litho Observations after the photolithography step T1 P22 P24  Few Spin on defects  Alignment is acceptable

© CEA. All rights reserved LETI proposal | 18 µBump ECD Cu-SAC  ECD Cu 10µm + SAC 8µm  Strip + µbump height measurements:  P22: mean=17.33µm – unif = 3.12%  P23: mean=16.74µm – unif = 4.08%  P24: mean=16.65µm – unif = 4.77%  P25: mean=17.39 – unif=5.19% µbumps height measurements after ECD step (wafer map on the 2 wafers) µbump height a little bit too low on P23 and P24

© CEA. All rights reserved LETI proposal | 19 µBump after seed etch P22 and P25: -seed layer etching is completed -Issue with black Alu Pad => electrical test betwen two pads done => contact is still OK P23 and especially P24: -seed layer etching is not completed on some dies: remains of cupper and Ti -Issue with black Alu Pad => electrical test betwen two Pads done => contact is still OK P24 Black Al Pad Cu Ti? P23

© CEA. All rights reserved LETI proposal | 20 Conclusion  P22 and P25 have black Alu pads but the seed etch is completed and contact between two pads are good.  P23 has a few dies with seed etch issue  P24 has more seed etch issues than P23.  Next time we can try a Ti etch with SC1 solution instead of HF.

© CEA. All rights reserved LETI proposal | 21 Bonding & thinning (run 3&4)  Split 1 wafer each run between slide off/ZB  Bow measurement done at SMC prior to debonding  Slide off debonding done by EVG  send back to SMC without tape (?)  ZB debonding done at Leti on frame/tape  send to SMC

© CEA. All rights reserved LETI proposal | 22 New context for stress compensation study  Leti consider that SMT bow measurement will not provide relevant results for stress compensation based on thin wafer measurement (100 µm)  Measure will be very difficult due to the flexibility of the wafer and also the high warpage  Repeatability of the measure (2 wafers) may be not sufficient to establish robust stress compensation process  Leti want to be well positioned for producing ATLAS chips (1000 wafers)  Leti is proposing a complementary contract for stress compensation process based on it’s own measurement and methodology

© CEA. All rights reserved LETI proposal | 23 Papers already published Warpage Control of Silicon Interposer for 2.5D Package Application Kei Murayama1, Mitsuhiro Aizawa1, Koji Hara1, Masahiro Sunohara1, Ken Miyairi1, Kenichi Mori1, Jean Charbonnier2, Myriam Assous2, Jean-Philippe Bally2, Gilles Simon2 and Mitsutoshi Higashi1 1 SHINKO ELECTRIC INDUSTRIES CO., LTD. 2 CEA, Leti

© CEA. All rights reserved LETI proposal | 24 Protocole for stress compensation at Leti  Objective : maximum bow < 20 µm of interposer die between 20 and 260°C  Basic characterization of thin film material:  CTE measurement vs temperature with RX diffraction (high sensitivity and accuracy method)  Young modulus extraction with nano-indention method  Work at wafer level: measurement and modeling of bow evolution with temperature with stacked deposition layers  Work at die level (20x20 mm to 30x30 mm): Topography and Deformation Measurement (TDM) to vaildate the behavior of die bow with temperature Mesure de CTE=f(T°C) TDM of polymer layer on 80 µm Si interposer Modeling vs experiment of

© CEA. All rights reserved LETI proposal | 25 RUN4&5  Waiting 4 remaining wafers from GU  planning will be shifted accordingly

Thank you for your attention