ITRS Test ITWG July 24th, 2002.

Slides:



Advertisements
Similar presentations
PV String Monitoring Solution
Advertisements

18 July 2001 Work In Progress – Not for Publication 2001 ITRS Test Chapter ITRS Test ITWG Mike Rodgers Don Edenfeld.
Key Trends High frequency serial interface data rate is scaling significantly faster than tester capability to test them High frequency (analog and digital)
RF and AMS Technologies for Wireless Communications Working Group International Technology Roadmap for Semiconductors Radio Frequency and Analog/Mixed-Signal.
ITRS Test TWG Don Edenfeld April 20 th, 2004 Spring Workshop - Stressa, Italy.
ITRS Roadmap Design + System Drivers Makuhari, December 2007 Worldwide Design ITWG Good morning. Here we present the work that the ITRS Design TWG has.
Don Edenfeld Mike Rodgers ITRS Test TWG
Assembly and Packaging TWG
1 Chapter One Introduction to Computer Networks and Data Communications.
Nios Multi Processor Ethernet Embedded Platform Final Presentation
Presenter : Cheng-Ta Wu Kenichiro Anjo, Member, IEEE, Atsushi Okamura, and Masato Motomura IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39,NO. 5, MAY 2004.
Packaging.
© 2008 Cisco Systems, Inc. All rights reserved.Cisco Confidential 1 Bill Eklow October 26, D Test Issues.
Chapter 4 Gates and Circuits.
Chapter 4 Gates and Circuits.
Melting Probe IWF-EXP/ÖAW GRAZ Size: 40 x 40 x 195 mm Mass w/o electronics Calculated: 450g Measured: 350g, 3m tether Main Components Cable.
Computer Maintenance Unit Subtitle: CPUs Copyright © Texas Education Agency, All rights reserved.1.
An International Technology Roadmap for Semiconductors
Chapter 4 Gates and Circuits.
Linear Technology Corporation
Modelling and Simulation 7. September 2014 / Dr. –Ing Naveed Ramzan 1 Instrumentation and control Department of Chemical Engineering, U.E.T. Lahore Pakistan.
Interfacing to the Analog World
1 Cleared for Open Publication July 30, S-2144 P148/MAPLD 2004 Rea MAPLD 148:"Is Scaling the Correct Approach for Radiation Hardened Conversions.
Wafer Level Packaging: A Foundry Perspective
CHALLENGES IN EMBEDDED MEMORY DESIGN AND TEST History and Trends In Embedded System Memory.
Integrated Circuits (ICs)
Power Integrity Analysis and Optimization in the Substrate Design Harini M, Zakir H, Sukumar M.
BURN-IN, RELIABILITY TESTING, AND MANUFACTURING OF SEMICONDUCTORS
Copyright 2001, Agrawal & BushnellDay-1 AM Lecture 11 Design for Testability Theory and Practice January 15 – 17, 2005 Vishwani D. Agrawal James J. Danaher.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 11 Lecture 1 Introduction n VLSI realization process n Verification and test n Ideal and real tests.
Copyright 2001, Agrawal & BushnellDay-1 AM-1 Lecture 11 Testing Analog & Digital Products Dr. Vishwani D. Agrawal James J. Danaher Professor of Electrical.
We make hot joints.. 2 Who we are. Originally part of a leading international handheld repair and rapid prototyping company, Repair point was formed *
Computer performance.
Copyright 2001, Agrawal & BushnellLecture 1 Introduction1 VLSI Testing Dr. Vishwani D. Agrawal James J. Danaher Professor of Electrical and Computer Engineering.
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES
Department of Computer Systems / TKT Design for Testability / O. Vainio Motivation  Testability is an important quality metric in electronic.
BS Test & Measurement Technique for Modern Semi-con devices & PCBAs.
Lecture 03: Fundamentals of Computer Design - Trends and Performance Kai Bu
CAD for Physical Design of VLSI Circuits
ITRS Factory Integration Difficult Challenges Last Updated: 30 May 2003.
Design Verification An Overview. Powerful HDL Verification Solutions for the Industry’s Highest Density Devices  What is driving the FPGA Verification.
Robust Low Power VLSI ECE 7502 S2015 Analog and Mixed Signal Test ECE 7502 Class Discussion Christopher Lukas 5 th March 2015.
Testing of integrated circuits and design for testability J. Christiansen CERN - EP/MIC
VLSI & ECAD LAB Introduction.
1 System-on-Chip (SoC) Testing An Introduction and Overview of IEEE 1500 Standard Testability Method for Embedded Core-based ICs.
Test and Test Equipment Joshua Lottich CMPE /23/05.
An accurate and efficient SSO/SSN simulation methodology for 45 nm LPDDR I/O interface Dr. Souvik Mukherjee, Dr. Rajen Murugan (Texas Instruments Inc.)
Testability of Analogue Macrocells Embedded in System-on-Chip Workshop on the Testing of High Resolution Mixed Signal Interfaces Held in conjunction with.
- 1 - ©2009 Jasper Design Automation ©2009 Jasper Design Automation JasperGold for Targeted ROI JasperGold solutions portfolio delivers competitive.
Present – Past -- Future
1 Interconnect/Via. 2 Delay of Devices and Interconnect.
Power Integrity Test and Verification CK Cheng UC San Diego 1.
By Nasir Mahmood.  The NoC solution brings a networking method to on-chip communication.
EE141 © Digital Integrated Circuits 2nd Introduction 1 Principle of CMOS VLSI Design Introduction Adapted from Digital Integrated, Copyright 2003 Prentice.
A+ Guide to Managing and Maintaining Your PC Fifth Edition Chapter 22 All About SCSI.
Trends in IC technology and design J. Christiansen CERN - EP/MIC
Nanometer Technology © Copyright 2002, Fairview Ridge Partners, LLC All Rights Reserved Nanometer Technology AKI Expert Session.
Overview of VLSI 魏凱城 彰化師範大學資工系. VLSI  Very-Large-Scale Integration Today’s complex VLSI chips  The number of transistors has exceeded 120 million 
EE141 © Digital Integrated Circuits 2nd Introduction 1 EE5900 Advanced Algorithms for Robust VLSI CAD Dr. Shiyan Hu Office: EERC 731 Adapted.
FAILURE ANALYSIS ELECTRICAL CHARACTERISATION SCHOOL OF MICROELECTRONICS KUKUM.
CS203 – Advanced Computer Architecture
Programmable Logic Controller & Distributed Control System Yoon-Je Choi 17 th June 2006.
EE141 © Digital Integrated Circuits 2nd Introduction 1 EE4271 VLSI Design Dr. Shiyan Hu Office: EERC 731 Adapted and modified from Digital.
Piero Belforte, HDT 1999: Modeling for EMC and High Frequency Devices, DAC 1999,New Orleans USA.
Integrated Circuits.
Lecture 2 VLSI Testing Process and Equipment
Technology advancement in computer architecture
Architecture & Organization 1
Architecture & Organization 1
Overview of VLSI 魏凱城 彰化師範大學資工系.
Presentation transcript:

ITRS Test ITWG July 24th, 2002

Test ITWG Membership Industry Suppliers * New in 2001 Agere Hitachi IBM Infineon Intel Matsushita Motorola Philips ST Microelectronics* Texas Instruments Suppliers Advantest Agilent Inovys* Schlumberger Synopsys* Teradyne * New in 2001

2001 ITRS Test Chapter New Additions Updates Reliability Methods Material Handling Device Interface Technology Updates High Frequency Serial Communications High Performance ASIC High Performance Microprocessor Low-end Microcontroller Mixed Signal and Wireless DFT Tester Embedded and Commodity DRAM and Flash

2001 Key Challenges High Speed Device Interfaces Highly Integrated Designs & SOCs Reliability Screens Manufacturing Test Cost Reduction Test Software Standards Modeling and Simulation

Demand for Bandwidth Penetration of high speed interfaces into new designs is increasing dramatically Learning rate for ATE solutions lags leading edge device technology Test and DFT methods must be developed to enable development and production test of these products

High Integration Devices & SOC Customer requirements for form factor and power consumption are driving a significant increase in design integration levels Test complexity will increase dramatically with the combination of different classes of circuits on single die or within a single package Disciplined, structured DFT is a requirement to reduce test complexity New test methods and equipment architectures must be developed Enable a merge of logic and analog test capability with the throughput of high density memory test equipment

Reliability Screens Run Out of Gas Critical need for development of new techniques for acceleration of latent defects Burn-in methods limited by thermal runaway Lowered use voltages limits voltage stress opportunity Difficulty of determining Iddq signal versus “normal” leakage current noise New materials Rate of introduction increasing: Cu, low k, high k, SiGe Increasing mechanical sensitivities Rapid growth of Fabless business model Organizational and corporate boundaries - lack of clear ownership of reliability in distributed business models

Scaling Component Test Cost Recent steps have enabled test cost to begin to scale across technology nodes Equipment reuse across nodes Increasing test throughput Challenge remains in most segments, especially high speed and high integration products

Dismantling the Red Brick Walls Design For Test enabling has begun to remove many of the roadblocks that appeared in the 1997 and 1999 roadmaps Test is becoming integrated with the design process Improvements demonstrated in capability and cost Continued research is needed into new and existing digital logic fault models toward identification of true process defects Development of Analog DFT methods must advance Formalization of analog techniques and development of fault models

Test Software Standards Focus Standards for test equipment interface & communication are needed to decrease equipment factory integration time Improve equipment interoperability to reduce factory systems integration time e.g, built into 300mm equipment specifications Standards for ATE software and test program generation are needed to decrease test development effort and improve time to market Lower the barrier for selecting the optimal equipment Increased focus for standards development and adoption of existing standards

Can DFT mitigate analog test cost as does in the digital domain? How can we improve manageability of the divergence between validation and manufacturing equipment? Can ATE instruments catch up and keep up with high speed serial performance trends? Can DFT mitigate analog test cost as does in the digital domain? What is the cost and capability optimal SOC test approach? What happens when high speed serial interfaces become buses? How can we make test of complex SOC designs more cost effective? Will market dynamics justify development of next generation functional test capabilities? Can DFT and BIST mitigate the mixed signal tester capability treadmill? What other opportunities exist? Will increasing test data volume lead to increased focus on Logic BIST architectures? What are the other solutions?

Test Implications of IP Design Test Strategy and Integration DFT for IP Core Based Design Higher Level DFT Standardization IP Core Based Design Logic MCU Memory Control DSP Analog BISR/BIRA Path Delay BOST Test Strategy Analog Isolation Scan+ATPG IP Core Isolation BIST

Automated DFT Insertion Automation of test control integration and test scheduling Insert test wrapper and test control circuits SoC DFT IP Core Test Data Chip-Level Test Wrapper Test Controller Insertion Conversion Configuration of Chip-Level Test Controller and Test Access Mechanism

Preliminary Roadmap for Handlers Memory 2001 2002 2003 2004 2005 2006 2007 2010 2013 2016 Note Parallel Testing per head 32 to 64 64 to 128 Index Time Sec. 3 to 5 2 to 5 2 to 4 Throughput thousands / hour 6 to 8 8 to 10 8 to 12 Temp. Control degree -55 to 100 Temp. Accuracy degree +/- 3 +/- 2 +/- 2 +/- 1.5 *1 Foot Print ratio 1 to 1.3 1.3 to 1.5 *2 Logic 2001 2002 2003 2004 2005 2006 2007 2010 2013 2016 Note Parallel Testing per head 4 8 16 Index Time Sec. 0.3 to 0.4 to 0.25 Throughput thousands / hour 4 to 6 8 to 12 9 to14 12 to 20 Temp. Control degree Room Temp. to 125 Temp. Accuracy degree +/- 3 +/- 2 +/- 2 +/- 1 *1 Foot Print ratio 1 1.2 1.4 *2 *1 Though 128 become number of parallel testing after 2005 years, it is difficult to keep the temperature accuracy that 64 are the same as the number of parallel testing with memory handler.Therefore, it becomes yellow. Though 8 become number of parallel testing after 2004 years, it is difficult to keep the temperature accuracy that 4 are the same as the number of parallel testing with logic handler. Therefore, it becomes yellow. *2 It is expressed by the index number when 32 of parallel testing in 2001 is made 1. (Therefore, it becomes 1.3 by 64 of parallel testing in 2001.).

Preliminary Roadmap for Handlers Device flow Tray flow Tray Loader UnLoader JEDEC Temp. control Achieving the same temperature accuracy in handlers with 128 devices handled in parallel, as handlers with 64 will be very difficult and challenging. Parallel testing Memory 64 to 128 (2005) Foot print Considering the size of the handler needed to access the test floor, the test floor layout, and other transportation restrictions, the handler width should not exceed 1.8 m. Logic 4 to 8 (2004) to 16 (2010) Make the handling faster. Make the conveyance distance shorter. More accurate positioning will make the handling time shorter. Test head The test head size is becoming larger year by year. Socket Device Handler is required to handle diversifying various kinds of packages. Index time Test frequency Keep an electrical stable contact

Preliminary Roadmap for Sockets Electrical stable contact is one of key technologies on semiconductor device testing. Important contact technologies:   Probing contact for wafer testing   Discussed in 2001   Socket contact for package testing   Proposal for 2002 discussion

Preliminary Roadmap for Sockets Molded board type 2001 2002 2003 2004 2005 2006 2007 2010 2013 2016 Inductance nH 3 to 8 2 to 8 Contact stroke mm 0.3 to 0.5 Contact pressure g 20 to 40 20 to 40 Contact resistance mOhm 30 Guarantee marginal value durability 10000 Note The performance has ripened and there is no big change. Contact pressure is difficult at lead free correspondence. Spring probe type 2001 2002 2003 2004 2005 2006 2007 2010 2013 2016 Inductance nH 2 to 8 1 to 8 Contact stroke mm 0.3 to 0.5 0.3 Contact pressure g 20 to 40 13 to 40 13 to 28 Contact resistance mOhm 150 100 Guarantee marginal value durability 10000 10000 10000 Note The limit over the diameter reduction of a terminal is in sight. New generation type 2001 2002 2003 2004 2005 2006 2007 2010 2013 2016 Inductance nH 1 to 8 Contact stroke mm 0.1 to 0.3 Contact pressure g 13 to 28 Contact resistance mOhm 30 Guarantee marginal value durability 10000 Note If opposite cost is taken into consideration, at a present stage, it is not practical. * Guarantee marginal value : The number of times of a use limit which an offer company guarantees.

Preliminary Roadmap for Sockets A trend of Socket Need to develop novel contactor such as zero-force architecture for ultra high pin counts (narrow pitch) and high speed device testing. Surface mount type of stamping Surface mount of stamping contact pin with rubber component Peripheral Leaf spring type Particle inter-connect ? Spring probe system Area Array Leaf spring type Barrel less type of spring probe pin One side actuating type of spring probe pin Film type Rubber type Micro-spring ?

Modeling and Simulation Difficulty of test development for design & Virtual tester tech. De fac’to program description Test Board verification tech. Correct test Correct test program? equipment? ・Tester resource problems (timing,pattern length, etc.) ・wrong wiring ・ miss relay control point ・ Ground noise ・wrong parts ・probe card (inductance) ・reflection(missmatched Z) ・Tester limitation(clump) ・Wait time ・Different tester Difficult triangular ・ ・ ・ ・ ・ ・ ・ ・ ・ ・ Correct products? ・imperfect circuit understanding ・not fix test spec. ・complex conditions of timing etc ・・・・ Virtual test operation Virtual tester technology

Modeling and Simulation Socket / Probe Test board Machine figure presentation, target electrical property presentation Equivalent length wiring, target transmission impedance Small board Socket Socket/ Probe A large number are taken. Test board Block ring Formation of many pins Workability, Speed Conversion board Test - Board Formation of a special package Conversion Optimize wiring , Adjust processing Electric circuit parameter extraction Tester pin electronics (Electro magnetic analysis) (Board analysis) Tester mother board DR Tester x CP Test board verification technology New business DUT- Tester transmission The necessity for a model 1V 3V 25Ω R S R L ∽ 350mH CP 24mA CP -24mA output SPICE and IBS model Distributed Model, Tester Mode tr=2.0ns/tf=2.4ns tr=1.3ns/tf=1.8ns 6 V tr=2.3ns/tf=12.8ns (Customer board design consideration) (Tester transmission way analysis technology) Device improvement in the speed, customer situation consideration It is overly high-speed testing. (RAMBUS, cellular phone) High frequency Transition line consideration L/R Circuit Diode Clamp Circuit I-LOAD Circuit Output voltage, current regulation Concentration constant Fig 2 T6672 Tester Ringing Countermeasure Method (Voh,Vol,Ioh,Iol (Comparator capacity, driver impedance) Device Tester High-speed tester (125MHz) test mode waveform analysis Subject: socket / probe, a test board, and a tester Even if each shows information, the whole test board verification is difficult.