EMC Models. 2 September 15 1.Models, what for ? 2.IC Models for EMC 3.Core Model 4.Package model 5.Test-bench models 6.Emission measurements/simulations.

Slides:



Advertisements
Similar presentations
SWITCH-MODE POWER SUPPLIES AND SYSTEMS Silesian University of Technology Faculty of Automatic Control, Electronics and Computer Sciences Ryszard Siurek.
Advertisements

Power Delivery Network Optimization for Low Power SoC
Analog Basics Workshop RFI/EMI Rejection
Designing a EMC Compatible Electronic Meter using AD7755 a.
EMC of ICs Practical Trainings. 2 May 15 Objectives Get familiar with IC-EMC/Winspice Illustrate parasitic emission mechanisms Understand parasitic emission.
1/18 Near field scan immunity measurement with RF continuous wave A. Boyer, S. Bendhia, E. Sicard LESIA, INSA de Toulouse, 135 avenue de Rangueil,
1 AN EDUCATIONNAL APPROACH TO ELECTROMAGNETIC COMPATIBILITY OF INTEGRATED CIRCUITS Etienne SICARD INSA/DGEI University of Toulouse Toulouse - France.
Powerline Communications: Channel Characterization and Modem Design Yangpo Gao Helsinki University of Technology
EMC Models.
ESE – Andrew Rusek Applications of Computer Modeling in Electromagnetic Compatibility (EMC) Tests (Part1) P8. Field Pattern of Three Radiating.
ULg - EMC Lab Cost 286 Wroclaw1 Research activities in Liège Ir. V. Beauvois, Ir. S. Coets, Ir. M. Renard and Ir. Ph. Camus
Split, 12 December 2005 University of Zagreb Slide 1 Chip level EMC measurements and simulations “Impact of Communications Technology to EMC“, COST 286.
Microwave Interference Effects on Device,
VLSI System Design – ECES 681 Lecture: Interconnect -1 Prashant Bhadri Office: Rhodes Hall - 933C Department of ECECS, College of.
EMC Technology Roadmapping: A Long-Term Strategy Marcel van Doorn Philips Applied Technologies EM&C Competence Center Eindhoven, The Netherlands, March.
THEORETICAL LIMITS FOR SIGNAL REFLECTIONS DUE TO INDUCTANCE FOR ON-CHIP INTERCONNECTIONS F. Huret, E. Paleczny, P. Kennis F. Huret, E. Paleczny, P. Kennis.
Low Noise Amplifier. DSB/SC-AM Modulation (Review)
Worcester Polytechnic Institute
AP-EMC in Singapore MAY 19-22, 2008 – - IC-EMC a Demonstration Freeware for Predicting Electromagnetic.
1 August 15 Electromagnetic compatibility of Integrated Circuits INSA Toulouse - France September
Conducted Emissions and Susceptibility Conducted emissions are simpler to investigate than radiated emissions because only the product’s power cord needs.
EMC of IC models Model of the die : Model of the package :
Signal Integrity Software, Inc.Electronic Module Description© SiSoft, 2008 Electrical Module Description EMD A new approach to describing packages and.
1 4. EMC measurement methods. 2 Why EMC standard measurement methods Check EMC compliance of ICs, equipments and systems Comparison of EMC performances.
Origin of Emission and Susceptibility in ICs
Purpose This course discusses techniques that are used to analyze and eliminate noise in embedded microcontroller and microprocessor systems. Objectives.
Silicon Solutions for the Real World 1 AID-EMC Automotive IC Design for Low EMC Review Meeting 29 augustus 2006 VILVOORDE.
Reproduction interdite © ALMA EUROPEAN CONSORTIUM Reproduction forbidden Design, Manufacture, Transport and Integration in Chile of ALMA Antennas Page.
Purpose This course discusses techniques for analyzing and eliminating noise in microcontroller (MCU) and microprocessor (MPU) based embedded systems.
Course Introduction Purpose
EMC Models. March IC designers want to predict EMC before fabrication Models – What for ? Noise margin Switching Noise on Vdd IC designers want.
An accurate and efficient SSO/SSN simulation methodology for 45 nm LPDDR I/O interface Dr. Souvik Mukherjee, Dr. Rajen Murugan (Texas Instruments Inc.)
Electromagnetic Compatibility Test for CMS Experiment. Authors C. Rivetta– Fermilab F. Arteche, F. Szoncso, - CERN.
Stochastic Current Prediction Enabled Frequency Actuator for Runtime Resonance Noise Reduction Yiyu Shi*, Jinjun Xiong +, Howard Chen + and Lei He* *Electrical.
Impact of High Impedance Mid-Frequency Noise on Power Delivery Jennifer Hsiao-Ping Tsai.
1 Interconnect/Via. 2 Delay of Devices and Interconnect.
Distributed Computation: Circuit Simulation CK Cheng UC San Diego
Introduction LNA Design figure of merits: operating power consumption, power gain, supply voltage level, noise figure, stability (Kf & B1f), linearity.
Modern VLSI Design 3e: Chapter 7 Copyright  1998, 2002 Prentice Hall PTR Topics n Power/ground routing. n Clock routing. n Floorplanning tips. n Off-chip.
Prof R T KennedyEMC & COMPLIANCE ENGINEERING 1 EET 422 EMC & COMPLIANCE ENGINEERING.
Bi-CMOS Prakash B.
Power Integrity Test and Verification CK Cheng UC San Diego 1.
Advanced Science and Technology Letters Vol.28 (EEC 2013), pp A 0 Ohm substitution current probe is used.
MICAS Department of Electrical Engineering (ESAT) Update of the “Digital EMC project” March 1st, 2006 AID–EMC: Low Emission Digital Circuit Design Junfeng.
Signal Integrity Software, Inc.Electronic Module Description© SiSoft, 2008 Electrical Module Description EMD A new approach to describing packages and.
1 Characterization and modeling of the supply network from an integrated circuit up to 12 GHz C. Labussière (1), G. Bouisse (1), J. W. Tao (2), E. Sicard.
F. Arteche EMC: Electronics system integration for HEP experiments (Grounding & Shielding)
Exploring the Rogue Wave Phenomenon in 3D Power Distribution Networks Xiang Hu 1, Peng Du 2, Chung-Kuan Cheng 2 1 ECE Dept., 2 CSE Dept. University of.
32ch Beam-Former for Medical Ultrasound Scanner Performed by : Alaa Mozlbat, Hanna Abo Hanna. Instructor : Evgeniy Kuksin.
MICROPROCESSOR DESIGN1 IR/Inductive Drop Introduction One component of every chip is the network of wires used to distribute power from the input power.
Piero Belforte, HDT 1997, THRIS (Telecom Hardware Robustness Inspection System) Project as CSELT-HDT cooperation: Achieving global hardware robustness in electronic systems.
Piero Belforte, HDT: PRESTO Post-layout Rapid Exhaustive Simulation and Test of Operation.
Piero Belforte, CSELT 1999: AEI_EMC_, EMC basics by Flavio Maggioni.
Piero Belforte, HDT 1999: PRESTO POWER by Alessandro Arnulfo.
High Speed Properties of Digital Gates, Copyright F. Canavero, R. Fantino Licensed to HDT - High Design Technology
Piero Belforte, HDT 1999: Modeling for EMC and High Frequency Devices, DAC 1999,New Orleans USA.
Piero Belforte, HDT, July 2000: MERITA Methodology to Evaluate Radiation in Information Technology Application, methodologies and software solutions by Carla Giachino,
EMC Models.
Background Reading Chap 12.12, 13.7 Hall, Hall & McCall Chap. 6.2
EMC of ICs Practical Trainings
Impact of NFSI on the clock circuit of a Gigabit Ethernet switch
EMC of ICs Practical Trainings
EMI studies of different switched converters: setup and lessons learnt
EMC Lab presentation.
EMC for integrated circuits
EMC problems of DSOI device and circuits
Yiyu Shi*, Jinjun Xiong+, Howard Chen+ and Lei He*
2. EMC Basics concepts.
Chapter 7 Co-simulation of chip, package and board
2. EMC Basics concepts.
Presentation transcript:

EMC Models

2 September 15 1.Models, what for ? 2.IC Models for EMC 3.Core Model 4.Package model 5.Test-bench models 6.Emission measurements/simulations 7.Immunity measurements/simulations 8.Conclusion Summary

3 September 15 IC DESIGNERS WANT TO PREDICT EMC BEFORE FABRICATION Models – What for ? Noise margin Switching Noise on Vdd IC designers want to predict power integrity and EMI during design cycle to avoid redesign EMC models and prediction tools have to be integrated to their design flows Short time-to-market Cost of redesign: several million €

4 September 15 © Siemens Automotive Toulouse Most of the time, EMC measurements are performed once the equipment is built. No improvements can be done at conception phase. Predict EMC performances  IC, board, equipment optimizations However, need of non-confidential IC models (black box models) Models – What for ? EQUIPMENT DESIGNERS WANT TO PREDICT EMC BEFORE FABRICATION

5 September 15 DESIGN Architectural Design Design Entry Design Architect FABRICATION EMC compliant EMC Simulations Compliance ? GO NO GO EMC VALIDATED BEFORE FABRICATION Design Guidelines Models Training Models – What for ?

6 September 15 Complexity Level Equipment Board Component Physical spice V, Z 10 6 R,L,C,I LEECS ICEM Dipoles 10 2 R,L,C,I 10 1 R,L,C,I 10 1 dipoles 10 0 V(f), 10 0 Z(f) x-highhighlowmedium Expo PowerSI 10 4 R,L,C,I EMC MODELS DEPENDS ON THE TARGETED COMPLEXITY, THE LEVEL OF CONFIDENTIALITY OF INFORMATION. Confidentiality IC models for EMC

7 September 15 IC models for EMC Core – I/O Model Package Model Test bench ModelTest board Model EMC Model for the circuit Electrical Simulation Simulated Emission spectrum GENERAL FLOW TO BUILD AN EMC MODEL AND PREDICT EMC PERFORMANCES IEC 62433

8 September 15 IC models for EMC THE IEC PROJECT IEC ICEM-CE Conducted RF emission IEC ICEM-RE Radiated RF emission IEC ICIM-CI Conducted RF immunity IEC ICIM-RI Radiated RF immunity

9 September 15 IC models for EMC THE IEC PROJECT Conducted mode Radiated mode Emission Immunity Intra-bloc ICEM-CE IEC ICEM-RE IEC ICIM-CI IEC ICIM-RI IEC Impulse immunity IEC Intra-bloc EMC IEC Standard available Draft of standard Research undergoing

10 September 15 IC models for EMC IEC – “ICEM Conducted Mode” Package IA PDN IT

11 September mA 3 A 32 bit processor 500 MHz 62.5 ns 2 ns 16 bit processor 16 MHz I time Core model INTERNAL ACTIVITY (IA) - CURRENT SOURCE EXTRACTION time I Extraction of internal current waveform 1st order assumption : model core activity by triangular waveform current source

12 September 15 Physical Transistor level (Spice) Huge simulation Limited to analog blocks Interpolated Transistor level Difficult adaptation to usual tools Limited to 1 M devices Simple, not limited Fast & accurate Gate level Activity (Verilog) Activity estimation from data sheet Very simple, not limited Immediate, not accurate Core model INTERNAL ACTIVITY (IA) – FROM PHYSICAL TO FIRST-ORDER ESTIMATION Equivalent Current generator Extraction In this course

13 September 15 Core model PASSIVE DISTRIBUTION NETWORK (PDN) Complex network of interconnections, vias and on-chip capacitances Coupling path for noise through the IC Require extraction of impedance between Vdd and Vss. Possible modeling by an equivalent passive model Equivalent passive model Substrate, interconnections metallization Capacitive behavior

14 September 15 Package model IC model Core model ICEM IN IC-EMC - DOUBLE LC SYSTEM IA Rvdd Cd Lvdd RvssLvss Cb LPackVdd LPackVss External VDD External VSS Secondary resonance Primary resonance Frequency Emission level Low L,C values => High resonant frequency ICEM-CE model (IEC ) PDN IA

15 September 15 [IBIS Ver] 2.1 [Date] March 17,2011 [File Name] dsPIC33FJ128GP706.ibs [File rev] 1.0 [Component] dsPIC33FJ128GP706 [manufacturer] MICROCHIP [Package] | R_pkg 19.05m 21.2m 16.9m L_pkg 3.025nH 2.61nH 3.44nH C_pkg 0.269pF 0.268pF 0.270pF … [IBIS Ver] 2.1 [Date] March 17,2011 [File Name] dsPIC33FJ128GP706.ibs [File rev] 1.0 [Component] dsPIC33FJ128GP706 [manufacturer] MICROCHIP [Package] | R_pkg 19.05m 21.2m 16.9m L_pkg 3.025nH 2.61nH 3.44nH C_pkg 0.269pF 0.268pF 0.270pF … Core Model ADDING IOS - IBIS: INPUT BUFFER I/O SPECIFICATION IBIS file  I/O switching noise prediction  I/O immunity prediction Very important for : Input driver I(V) characteristics Output driver I(V) characteristics

Core Model 16 September 15 IC PIN DECLARATION - MODELS

17 September 15 Core Model MODEL DETAILS

Core Model 18 September 15 ADDING IOS – SIGNAL TRANSPORT

19 September 15 Package Model CASE STUDY – DSPIC 33F z11-dspic-vdd_10-vss_9.z On-chip decap Package inductance

20 September 15 11 To receiver DUT Emission measurement/simulation CONDUCTED/RADIATED EMISSION PREDICTION Time Domain Simulation FFT of V analyzer (t) EMC model Measurements Core Model Elec. package Model Board Model IC Model Spectrum analyzer Compare spectrums Simulations Measurements

21 September 15 ICEM-CE CASE STUDY – DSPIC 33F Emission measurement/simulation Core only

22 September 15 ICEM-CE CASE STUDY – DSPIC 33F Emission measurement/simulation Core + 16 ADDR 20dB more noise than core

23 September 15 Emission measurement/simulation ICEM-RE – CURRENT DIPOLE THEORY chip Vdd Vss I(vdd) I(vss) P H1 H2  Package is the main contributor of the radiated emission of an IC  Magnetic field emission is generated by the flowing of parasitic current through package pins Magnetic near field scan of a 16 bit microcontroller

24 September 15 Scan Simulations Core Model Elec. package Model Analog Time Domain Simulation Fourier Transform of I(t) Compare scans Scan Measurements Spectrum analyser H[x,y] at given f, given z Positionning [x,y] Emission measurement/simulation Geometrical package model H[x,y,z] of I(f) ICEM-RE – SIMULATION/MEASUREMENT

25 September 15 Emission measurement/simulation ICEM-RE – RADIATING DIPOLES IA PDN IT

26 September 15 IC models for EMC IEC – “ICIM CONDUCED IMMUNITY” The package and die impedance act as a coupling path for RF interference (Vin, Iin) to the active blocks, Filtering effect and/or distortion through the PDN and produce (Vr,Ir). The IB block describes how the circuit reacts to internal perturbations, and can be represented as (Vout,Iout) for monitoring the failure

27 September 15 IC models for EMC IEC – “ICIM CONDUCED IMMUNITY” Package IB PDN Package PDN Silicon die IC PDN Internal Behaviour IB External pins ICIM – immunity model Package Monitoring of the failure PDN = Passive Distribution Network detection RF disturbance Coupling path Close to ICEM-CE Close to ICEM Add Diodes (camp, back-to- back, ESD, EOS) New!

28 September 15 Functionnal model Coupling path model RF generator model Susceptibility criterion +Internal Behavior (IB) +Behaviour of sensitive & non-linear parts +DUT power supply +DUT input structure model +Passive Decoupling Network (PDN) +Perturbation source +Extraction of power injection Injection model +Injection device model +PCB model Power limit Voltage threshold Overcurrent SNR degradation LSB degradation …. IC models for EMC IEC – “ICIM CONDUCED IMMUNITY”

29 September 15 Susceptibility measurement/simulation SUSCEPTIBILITY PREDICTION MODEL Functional model output input clock Vdd Vss Resonance I/O Supply network Z(f) Time Amplitude Disturbance model IC model From ICEM From IBIS Coupling path model  ICIM – CE immunity model  Reuse of standard non-confidential models (ICEM, IBIS)  Susceptibility peaks linked with supply network anti-resonances

30 September 15 Susceptibility measurement/simulation SUSCEPTIBILITY SIMULATION FLOW Aggressed IC Model ( ICEM ) Package and IO model ( IBIS ) RFI and coupling path model ( Z(f) ) Set RFI frequency IC-EMC Increase V aggressor Time domain simulation WinSPICE Criterion analysis Extract forward power IC-EMC Increase RFI frequency Susceptibility threshold simulation

31 September 15 Test bench model DPI capacitance  Electrical model extracted by S parameter measurements and electromagnetic simulations  Test bench models should be generic  Limited frequency range due to influence of parasitic elements, apparition of high order propagation mode TEST BENCH MODEL TEM Cell DPI injection Near-field scan

32 September 15 Susceptibility case study DPI ON A 330 OHM LOAD Immunity > Dpi330ohm

33 September 15 EMC models can help earn/save money Macro-models of ICs include core, I/O and package modeling The core model is based on current evaluation and on-chip capacitance The package model is based on RLC Good prediction of emission and susceptibility up to 2 GHz Soon, requirements up to 3-10 GHz Conclusion