Presenter : Ching-Hua Huang 2012/11/3 Implementation and Prototyping of a Complex Multi-Project System-on-a-Chip Chun-Ming Huang, Chien-Ming Wu, Chih-Chyau.

Slides:



Advertisements
Similar presentations
Z. Stamenković 1, M. Giles 2, and F. Russi 2 1 IHP GmbH, Frankfurt (Oder), GERMANY 2 Synopsys Inc., Mountain View, CA, USA 13th IEEE European Test Symposium,
Advertisements

Apr. 20, 2001VLSI Test: Bushnell-Agrawal/Lecture 311 Lecture 31 System Test n Definition n Functional test n Diagnostic test  Fault dictionary  Diagnostic.
Presenter : Ching-Hua Huang 2012/4/16 A Low-latency GALS Interface Implementation Yuan-Teng Chang; Wei-Che Chen; Hung-Yue Tsai; Wei-Min Cheng; Chang-Jiu.
A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA, and customizable I/O Borgatti, M. Lertora, F. Foret, B. Cali, L.
Presenter : Ching-Hua Huang 2014/4/14 A Configurable Bus-Tracer for Error Reproduction in Post-Silicon Validation Shing-Yu Chen ; Ming-Yi Hsiao ; Wen-Ben.
EELE 367 – Logic Design Module 2 – Modern Digital Design Flow Agenda 1.History of Digital Design Approach 2.HDLs 3.Design Abstraction 4.Modern Design Steps.
Presenter: Jyun-Yan Li A Software-Based Self-Test Methodology for On-Line Testing of Processor Caches G. Theodorou, N. Kranitis, A. Paschalis, D. Gizopoulos.
Week 1- Fall 2009 Dr. Kimberly E. Newman University of Colorado.
Copyright 2001, Agrawal & BushnellDay-1 AM Lecture 11 Design for Testability Theory and Practice January 15 – 17, 2005 Vishwani D. Agrawal James J. Danaher.
MICROELETTRONICA Design methodologies Lection 8. Design methodologies (general) Three domains –Behavior –Structural –physic Three levels inside –Architectural.
Feng-Xiang Huang A Low-Cost SOC Debug Platform Based on On-Chip Test Architectures.
1 HW/SW Partitioning Embedded Systems Design. 2 Hardware/Software Codesign “Exploration of the system design space formed by combinations of hardware.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 11 Lecture 1 Introduction n VLSI realization process n Verification and test n Ideal and real tests.
Spring 07, Jan 16 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Introduction Vishwani D. Agrawal James J. Danaher.
A Timing-Driven Soft-Macro Resynthesis Method in Interaction with Chip Floorplanning Hsiao-Pin Su 1 2 Allen C.-H. Wu 1 Youn-Long Lin 1 1 Department of.
~ EDA lab ~ Interconnect Verification for SOC Jing-Yang Jou Department of Electronics Engineering National Chiao Tung University Hsinchu, Taiwan
Presenter: Jyun-Yan Li Multiprocessor System-on-Chip Profiling Architecture: Design and Implementation Po-Hui Chen, Chung-Ta King, Yuan-Ying Chang, Shau-Yin.
Spring 07, Jan 25 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 VLSI System DFT Vishwani D. Agrawal James J. Danaher.
Build-In Self-Test of FPGA Interconnect Delay Faults Laboratory for Reliable Computing (LaRC) Electrical Engineering Department National Tsing Hua University.
1 Fast Communication for Multi – Core SOPC Technion – Israel Institute of Technology Department of Electrical Engineering High Speed Digital Systems Lab.
6/30/2015HY220: Ιάκωβος Μαυροειδής1 Moore’s Law Gordon Moore (co-founder of Intel) predicted in 1965 that the transistor density of semiconductor chips.
Copyright 2001, Agrawal & BushnellDay-1 AM-1 Lecture 11 Testing Analog & Digital Products Dr. Vishwani D. Agrawal James J. Danaher Professor of Electrical.
From Concept to Silicon How an idea becomes a part of a new chip at ATI Richard Huddy ATI Research.
Hardware/Software Partitioning Witawas Srisa-an Embedded Systems Design and Implementation.
EE587 SoC Design & Test School of EECS Washington State University
Presenter : Shao-Cheih Hou Sight count : 11 ASPDAC ‘08.
Role of Standards in TLM driven D&V Methodology
1 FIPS 140 Validation for a “System-on-a-Chip” September 27, 2005 NIST Physical Testing Workshop.
SoC Design Methodology and Indoor Location/Tracking System Implementation Chihhao Chao
SOC Consortium Course Material ASIC Logic National Taiwan University Adopted from National Chiao-Tung University IP Core Design.
Chap. 1 Overview of Digital Design with Verilog. 2 Overview of Digital Design with Verilog HDL Evolution of computer aided digital circuit design Emergence.
ON LINE TEST GENERATION AND ANALYSIS R. Šeinauskas Kaunas University of Technology LITHUANIA.
Presenter: Hong-Wei Zhuang On-Chip SOC Test Platform Design Based on IEEE 1500 Standard Very Large Scale Integration (VLSI) Systems, IEEE Transactions.
ASIC/FPGA design flow. FPGA Design Flow Detailed (RTL) Design Detailed (RTL) Design Ideas (Specifications) Design Ideas (Specifications) Device Programming.
1 Integration Verification: Re-Create or Re-Use? Nick Gatherer Trident Digital Systems.
© 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Xilinx Design Flow FPGA Design Flow Workshop.
Design Verification An Overview. Powerful HDL Verification Solutions for the Industry’s Highest Density Devices  What is driving the FPGA Verification.
Presenter : Ching-Hua Huang 2013/7/15 A Unified Methodology for Pre-Silicon Verification and Post-Silicon Validation Citation : 15 Adir, A., Copty, S.
Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Topics Testability and architecture. Design methodologies. Multiprocessor system-on-chip.
Xilinx Programmable Logic Design Solutions Version 2.1i Designing the Industry’s First 2 Million Gate FPGA Drop-In 64 Bit / 66 MHz PCI Design.
Mixed-abstraction Modeling Approach with Fault Injection for Hardware-Firmware Co-design and Functional Co-verification of an Automotive Airbag System.
System Design with CoWare N2C - Overview. 2 Agenda q Overview –CoWare background and focus –Understanding current design flows –CoWare technology overview.
COE 405 Design and Modeling of Digital Systems
IEEE ICECS 2010 SysPy: Using Python for processor-centric SoC design Evangelos Logaras Elias S. Manolakos {evlog, Department of Informatics.
Introduction to FPGA Created & Presented By Ali Masoudi For Advanced Digital Communication Lab (ADC-Lab) At Isfahan University Of technology (IUT) Department.
Analysis of Verification System using SoC Platform Communication Circuit & System Design Lab., Dept. of Computer and Communication Engineering, Chungbuk.
Business Trends and Design Methodologies for IP Reuse Allen C.-H. Wu Department of Computer Science Tsing Hua University Hsinchu, Taiwan, R.O.C {
Network Enabled Wearable Sensors The Combined Research Curriculum Development (CRCD) project works with the Virtual Reality Applications Center (VRAC)
Moats and Drawbridges: An Isolation Primitive for Reconfigurable Hardware Based Systems Ted Huffmire, Brett Brotherton, Gang Wang, Timothy Sherwood, Ryan.
2D/3D Integration Challenges: Dynamic Reconfiguration and Design for Reuse.
Development of Programmable Architecture for Base-Band Processing S. Leung, A. Postula, Univ. of Queensland, Australia A. Hemani, Royal Institute of Tech.,
1 Hardware/Software Co-Design Final Project Emulation on Distributed Simulation Co-Verification System 陳少傑 教授 R 黃鼎鈞 R 尤建智 R 林語亭.
SOC Virtual Prototyping: An Approach towards fast System- On-Chip Solution Date – 09 th April 2012 Mamta CHALANA Tech Leader ST Microelectronics Pvt. Ltd,
Teaching The Principles Of System Design, Platform Development and Hardware Acceleration Tim Kranich
Greg Alkire/Brian Smith 197 MAPLD An Ultra Low Power Reconfigurable Task Processor for Space Brian Smith, Greg Alkire – PicoDyne Inc. Wes Powell.
Portable Heart Attack Detector (PHAD) Final Presentation
Chapter 11 System-Level Verification Issues. The Importance of Verification Verifying at the system level is the last opportunity to find errors before.
Real-Time System-On-A-Chip Emulation.  Introduction  Describing SOC Designs  System-Level Design Flow  SOC Implemantation Paths-Emulation and.
Presenter: Yi-Ting Chung Fast and Scalable Hybrid Functional Verification and Debug with Dynamically Reconfigurable Co- simulation.
DAC50, Designer Track, 156-VB543 Parallel Design Methodology for Video Codec LSI with High-level Synthesis and FPGA-based Platform Kazuya YOKOHARI, Koyo.
Programmable Hardware: Hardware or Software?
ASIC Design Methodology
Digital System Design An Introduction to Verilog® HDL
SoCKs Flow: Here, There, and Back Again
ENG3050 Embedded Reconfigurable Computing Systems
Figure 1 PC Emulation System Display Memory [Embedded SOC Software]
Matlab as a Development Environment for FPGA Design
HIGH LEVEL SYNTHESIS.
Measuring the Gap between FPGAs and ASICs
Physical Implementation
Presentation transcript:

Presenter : Ching-Hua Huang 2012/11/3 Implementation and Prototyping of a Complex Multi-Project System-on-a-Chip Chun-Ming Huang, Chien-Ming Wu, Chih-Chyau Yang, Wei-De Chien, Shih-Lun Chen, Chi-Shi Chen, Jiann-Jenn Wang, and Chin-Long Wey National Chip Implementation Center (CIC), Hsinchu, Taiwan Department of Electrical Engineering, National Central University, Jhongli, Taiwan Circuits and Systems, ISCAS IEEE International Symposium on National Sun Yat-sen University Embedded System Laboratory

A silicon prototyping methodology is presented for Multi-Project System-on-a-Chip(MP-SoC) implementation. A multi-projects platform was created for integrating heterogeneous SoC projects into a single chip. The total silicon prototyping cost of these projects can be greatly reduced by sharing a common platform. To demonstrate the effectiveness of the proposed methodology, a MP-SoC chip was implemented with ten SoC projects sharing the common platform. The total silicon area is about 37.97mm 2 in the TSMC 0.13um CMOS generic logic process technology. Compared with the total chip area mm 2 by implementing these projects separately, the results show that there are 91.42mm 2 silicon areas reduced by the MP-SoC platform. 2

3 In order to verify MP-SoC through silicon prototyping, a system modeling and hardware/ software co-design virtual platform were implemented. A configurable SoC prototyping system, namely CONCORD, is also created as a verification platform for emulating the hardware of MP-SoC before chip being taped-out. The CONCORD system provides higher connection flexibility, modularization, and architecture consistence than conventional FPGA systems.

4 What’s the problem  Many projects will be integrated in a SoC, namely MP-SoC. ◦ Reduced more area cost by use the MP-SoC.  In order to improve the robustness of MP-SoC design and verification ◦ A design flow was developed by CIC.

5 Related work [This paper] A Novel Methodology for Multi-Project System-on-a-Chip [Popular methodology] Integrated a complex system into a single chip [3-5] [other paper reference] [1] Surviving the SoC Revolution: A Guide to Platformbased Designs [2] Multiprocessor SoC Platforms: A Component-Based Design Approach [3] A Case Study of the Novel Low-Cost SoC Silicon Prototyping Service for Taiwan Academia [4] Multi-Project System-on Chip (MPSoC): A Novel Test Vehicle for SoC Silicon Prototyping [5] PrSoC: Programmable System-on-Chip (SoC) for Silicon Prototyping [6] ARM RealView Versatile, ARM [other paper reference] STEAC: A platform for automatic SOC test [1] System level integration [2] Platform-based design Resolve the problem of high fabrication cost for SoC designs An automatic SOC integration platform “STEAC” was used to facilitate MP-SoC test integration [6] ARM™ RealView Versatile

6 Proposed method  Virtual Prototyping ◦ A system modeling and HW/SW co-design virtual platform – ESL design methodology  Logical Implementation ◦ For IP’s development and verification  Rapid Prototyping ◦ ARM RealView Versatile ◦ A “CONCORD” platform  Physical Implementation ◦ The MP-SoC chip taped out to the foundry  Testing and Measurement ◦ Verigy ATE ◦ Customized development board

7  The 1’st goal – CoSim ◦ All Verilog-based IP modules are packed with TLM interfaces and connected to SystemC-based platform in the simulation environment.  The 2’nd goal – Performance analyze ◦ These analysis functions help designers to detect the system bottlenecks.  Faster simulation speed ◦ About 100 times than pre-simulation. Verilog-based IP module

8  STEAC : SOC TEST AID CONSOLE  Synopsys VIP : Synopsys Verification IP ◦ Provide the effective approach to verify circuit  It also can build the SoC platform rapidly. ◦ Provide the Functional Coverage and Monitoring  It can analyze this design whether conform to the specification. IP1 HDL coding Synthesis DFT/ATPG FPGA Synthesis HDL code IPn HDL coding … Synthesis DFT/ATPG … … STEAC Synthesis IEEE 1500 wrapper APR Netlist-> post-sim Netlist->pre-sim STEAC Test patterns

9  ARM™ RealView Versatile ◦ The connection architecture is not enough  Support item  One core tile sub board  One FPGA tile sub board  CONCORD ◦ The bus architecture is designed into the main board ◦ The silicon IPs are designed into the sub boards  Support OpenRISC 、 ARM and LEON3 system

10 Physical Implementation and Testing Chip Area4998x7598 um^2 Core Area4000x6600 um^2 Ring Width120um I/O Pad #485 ProcessTSMC 0.13um CMOS Process Critical Path  TSMC 0.13um 1P8M  There are two testing environments are used in this MP-SoC. ◦ Verigy ATE ◦ Customized development board

11  The silicon area can be significantly reduced. ◦ Saving approximately 70.6 % silicon area than fabricated individually.  A CONCORD platform ◦ Emulating the MP-SoC hardware before chip taping out. … … 10 IPs = … … v v v = IPs 91.42

12  This paper is related to my tape-out working ◦ Virtual Prototyping  Co-Ware ◦ Logical Implementation  FPGA verification  Design compiler, IEEE 1500 wrapper, DFT and ATPG  Pre-layout simulation  SoC encounter, DRC and LVS check  Post-layout simulation ◦ Testing and Measurement  Verigy ATE  Customized development board  It provide the different design approaches ◦ STEAC : A platform for automatic SOC test ◦ CONCORD : A modulation SoC verification platform