Tutorial 2: Introduction to ISE 14.6 (revised by khw)

Slides:



Advertisements
Similar presentations
Xilinx 6.3 Tutorial Integrated Software Environment (ISE) Set up basic environment Select Gates or Modules to Be simulated (Insert Program Code) Run Waveform.
Advertisements

®® Microsoft Windows 7 Windows Tutorial 8 Connecting to Networks with Mobile Computing.
© 2003 Xilinx, Inc. All Rights Reserved Architecture Wizard and PACE FPGA Design Flow Workshop Xilinx: new module Xilinx: new module.
Integrated Circuits Laboratory Faculty of Engineering Digital Design Flow Using Mentor Graphics Tools Presented by: Sameh Assem Ibrahim 16-October-2003.
ECE 272 Xilinx Tutorial. Workshop Goals Learn how to use Xilinx to: Draw a schematic Create a symbol Generate a testbench Simulate your circuit.
FPGA BASED IMAGE PROCESSING Texas A&M University / Prairie View A&M University Over the past few decades, the improvements from machine language to objected.
DE1 FPGA board and Quartus
Altera’s Quartus II Installation, usage and tutorials Gopi Tummala Lab/Office Hours : Friday 2:00 PM to.
CSE140L – Lab4 Overall picture of Lab4 Tutorial on Bus & Memory Tutorial on Truth table.
Lab 3 & 4 Discussion EE414/514 VHDL Design September 25.
Using PDG with e2studio: Example
ECE Department: University of Massachusetts, Amherst Lab 1: Introduction to NIOS II Hardware Development.
v8.2 System Generator Audio Quick Start
1 Lab7 Design and Implementation. 2 Design Example.
ECE 448: Spring 12 Lab 4 – Part 2 Finite State Machines Basys2 FPGA Board.
Dr. Oniga István LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN.
FPGA-Based Systems Design Flow in Action By: Ramtin Raji Kermani.
1 Keyboard Controller Design By Tamas Kasza Digital System Design 2 (ECE 5572) Summer 2003 Presentation for.
© 2011 Xilinx, Inc. All Rights Reserved This material exempt per Department of Commerce license exception TSU Xilinx Tool Flow.
Introduction to FPGA Design Illustrating the FPGA design process using Quartus II design software and the Cyclone II FPGA Starter Board. Physics 536 –
2. Introduction to the Visual Studio.NET IDE 2. Introduction to the Visual Studio.NET IDE Ch2 – Deitel’s Book.
Part 1 Using the ARM board And start working with C Tutorial 5 and 6
Introduction to Counter in VHDL
Introduction to Design Tools COE Review: Tools, functions, design flow Four tools we will use in this course – HDL Designer Suite FPGA Advantage.
Lecture #3 Page 1 ECE 4110– Sequential Logic Design Lecture #3 Agenda 1.FPGA's 2.Lab Setup Announcements 1.No Class Monday, Labor Day Holiday 2.HW#2 assigned.
ECE Department: University of Massachusetts, Amherst Using Altera CAD tools for NIOS Development.
1 Lab7 Design and Implementation. 2 Design Example : Parity checker.
Boolean Algebra (Continued) ELEC 311 Digital Logic and Circuits Dr. Ron Hayne Images Courtesy of Cengage Learning.
Xilinx and Nexys2 Tutorial Kartik Mohanram Dept. of Electrical and Computer Engineering Rice University, Houston, TX.
1 Keyboard Controller Design By Tamas Kasza Digital System Design 2 (ECE 5572) Summer 2003 A Project Proposal for.
Lecture #3 Page 1 ECE 4110– Sequential Logic Design Lecture #3 Agenda 1.FPGA's 2.Lab Setup Announcements 1.No Class Monday, Labor Day Holiday 2.HW#2 assigned.
Spring Introduction  Today’s tutorial focuses on introducing you to Xilinx ISE and Modelsim.  These tools are used for Verilog Coding Simulation.
© 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Xilinx Design Flow FPGA Design Flow Workshop.
1 Introduction to Xilinx ISL8.1i Schematic Capture and VHDL 1.
HDL Bencher FPGA Design Workshop. For Academic Use Only Presentation Name 2 Objectives After completing this module, you will be able to:  Describe the.
1 Introduction to Xilinx ISL8.1i & 11.1 Schematic Capture 1.
Active-HDL Interfaces Building VHPI Applications C Compilation Course 9.
Lab:How to use SSG to build your security solution V1.0 Bob Yi Aug 4, 2008.
OVERVIEW OF OVERVIEW OF Spartan-3. DESIGNFLOW Translate Map Place & Route Plan & Budget HDL RTL Simulation Synthesize to create netlist Functional Simulation.
This material exempt per Department of Commerce license exception TSU Xilinx Tool Flow.
Working with Xilinx Spartan 3 Embedded Systems Lab 2009.
Lecture #3 Page 1 ECE 4110–5110 Digital System Design Lecture #3 Agenda 1.FPGA's 2.Lab Setup Announcements 1.HW#2 assigned Due.
Lecture #2 Page 1 ECE 4110– Sequential Logic Design Lecture #2 Agenda 1.Logic Design Tools Announcements 1.n/a.
Getting Started with Lab 1 ECE 4401 Digital Design Lab 1.
Programmable Logic Training Course HDL Editor
displayCtrlr Specification
CascadedBCDCntr&Display Aim : Capture, simulate and implement a 2-digit, loadable BCD up/down counter, with chip enable I/P (CE) and chip enable O/P (CEO).
Introductory project. Development systems Design Entry –Foundation ISE –Third party tools Mentor Graphics: FPGA Advantage Celoxica: DK Design Suite Design.
Introduction to ECE 255 Lab Cheng Cheng, Teaching Assistant Department of EECS University of Tennessee.
Copyright (c) 2003 by Valery Sklyarov and Iouliia Skliarova: DETUA, IEETA, Aveiro University, Portugal.
11 EENG 1920 Introduction to VHDL. 22 Hardware Description Language A computer language used to design circuits with text-based descriptions of the circuits.
Copyright (c) 2003 by Valery Sklyarov and Iouliia Skliarova: DETUA, IEETA, Aveiro University, Portugal.
Introduction to Labs Wenchao Cao, Teaching Assistant Department of EECS University of Tennessee.
Teaching Digital Logic courses with Altera Technology
© Copyright 2010 Xilinx ML605 MultiBoot Design May 2010 © Copyright 2010 Xilinx XTP043.
Copyright © 2007 by Pearson Education 1 UNIT 6A COMBINATIONAL CIRCUIT DESIGN WITH VHDL by Gregory L. Moss Click hyperlink below to select: Tutorial for.
How to use ISE Dept. of Info & Comm. Eng. Prof. Jongbok Lee.
1 Introduction to Engineering Spring 2007 Lecture 18: Digital Tools 2.
Introduction to Vivado
Lab 1: Using NIOS II processor for code execution on FPGA
The first change to your project files that is needed is to change the device to the correct FPGA. This is done by going to the Assignments tab on the.
Downloading Arduino FOR WINDOWS.
Using Xilinx ChipScope Pro Tools
M1.5 Foundation Tools Xilinx XC9500/XL CPLD
Implementing VHDL Modules onto Atlys Demo Board
Dept. of Electronics & Info. Eng. Prof. Jongbok Lee
ECE 4110–5110 Digital System Design
Getting Started with Programmable Logic
Founded in Silicon Valley in 1984
Presentation transcript:

Tutorial 2: Introduction to ISE 14.6 (revised by khw) CENG 3430 How to use Xilinx ISE 14.6

Step 1: Start up the software Double click the ISE icon in the desktop Or start from the Start Menu How to use Xilinx ISE 14.6

Step 2: Create a new project Click File->Select New Project->Type ‘tutorial’->Click Next->Fill in the properties->Click Next->Click Finish ♦ Evaluation Development Board: None Specified ♦ Product Category: All ♦ Family: Spartan3A and Spartan3AN ♦ Device: XC3S50AN ♦ Package: TQ144 ♦ Speed: -4 ♦ Top-Level Source Type : HDL ♦ Synthesis Tool: XST (VHDL/Verilog) ♦ Simulator: ISim (VHDL/Verilog) ♦ Preferred Language: VHDL How to use Xilinx ISE 14.6

Step 3: Create a VHDL Source Left-top (view= Implementation) Right-click xCs50an-4tqg144 ->Select New source->Select VHDL Module->Type ‘counter’->Click Next->Fill in port information->Click Next->Click Finish How to use Xilinx ISE 14.6

Step 4: Add your code use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; signal count_int : std_logic_vector(3 downto 0) := "0000"; process (CLOCK) begin if CLOCK='1' and CLOCK'event then if DIRECTION='1' then count_int <= count_int + 1; else count_int <= count_int - 1; end if; end process; COUNT_OUT <=count_int; How to use Xilinx ISE 14.6

Step 5: Check the syntax Select Implementation->Select counter source file->Select Synthesize->Double click the Check Syntax How to use Xilinx ISE 14.6

Step 6: Create a test bench Click Simulation->Select Project->Select New Source->Select VHDL Test Bench->Type ‘counter_tb’->Click Next->Click Next->Click Finish How to use Xilinx ISE 14.6

Step 7: Enter your code Modify the code and save Set DIRECTION to be ‘1’ Set CLOCK_period to be ‘1 us’ How to use Xilinx ISE 14.6

Step 8: Run the simulation Select counter_tb->Right click Simulate Behavioral Model->Click Rerun All How to use Xilinx ISE 14.6

Step 8: Run the simulation Press F11 3 times->Select Window->Select Tile Horizontally How to use Xilinx ISE 14.6

Step 8: Run the simulation Select Restart->Press F11 10 times->Use Zoom in and Zoom out to adjust the scale How to use Xilinx ISE 14.6

Step 9: Implement Design Select Implementation->Double click the generate Programming File How to use Xilinx ISE 14.6

Step 10: Pin Assignment Click User Constraints->Double click the Floorplan Area/IO/Logic->Press ‘yes’->Press ‘close’->Click the ‘+’ next to the COUNT_OUT COUNT_OUT[0] → P3 COUNT_OUT[1] → P4 COUNT_OUT[2] → P5 COUNT_OUT[3] → P6 CLOCK → P124 (make sure you use the correct pin for the clock) DIRECTION → P110 How to use Xilinx ISE 14.6

Step 10: Pin Assignment Select File->Select Save constraints->Close the PlanAhead Window-> (left top window : View – implementation) Double Click the counter.ucf-> Top-left window : Mouse over counter-Behavioral (counter.vhd) Bottom-left window: mouse over/right click Generating Programming File (rerun all) How to use Xilinx ISE 14.6

Step 11: Download to the board Refer to the Tutorial 1 (appendix) and finish this step A revision of tutorial 1. The required voltage of USB JTAG is 3.3V but that of parallel is 5V. How to use Xilinx ISE 14.6

Run simulation without test bench Force Clock How to use Xilinx ISE 14.6

Run simulation without test bench Force Clock Leading Edge: the start value Trailing Edge: the end value e.g. Leading = 0, Trailing = 1 will make a Remember to enter the UNIT e.g. 50 ns, 0.5us, 1ms How to use Xilinx ISE 14.6

Run simulation without test bench Force Constant How to use Xilinx ISE 14.6

Run simulation without test bench Force Constant How to use Xilinx ISE 14.6

Appendix How to use Xilinx ISE 14.6

Introduction to FPGA Board Ceng 3430

Collect your board Come to collect your board CUHK CSE FPGA Board 2013 Xilinx USB JTAG Cable DC 9V Adapter Don’t forget to tick your name in the list form when collecting your board

Overview diagram

A test to your board: Step 1 Connect your board with PC using the USB JTAG cable

Step 2 Configure DIP switch as follows

Step 3 Connect your board with power

Step 4 Double click the TestSpartan3AN.xise file icon in the TestSpartan3AN folder to open the TestSpartan3AN project.

Step 5 Open iMPACT Implementation -> TestSpartan3AN -> double-click the Configure Target Device -> iMPACT -> File -> New Project

Step 6 Build a new iMPACT project

Step 7 Select testspartan3an.bit

Step 8 Program flash and Load FPGA Right-click on the xc3s50an device image, and select Program Flash and Load FPGA

Result 4 seven segment displays are lit and counting from ‘0’ to ‘F’

End Download the materials from Blackboard system.