System On Chip - SoC 전북대학교 전자공학부 이종열.

Slides:



Advertisements
Similar presentations
Hao wang and Jyh-Charn (Steve) Liu
Advertisements

SOC Design: From System to Transistor
Embedded System Lab. What is an embedded systems? An embedded system is a computer system designed for specific control functions within a larger system,
System On Chip - SoC Mohanad Shini JTAG course 2005.
A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA, and customizable I/O Borgatti, M. Lertora, F. Foret, B. Cali, L.
CHALLENGES IN EMBEDDED MEMORY DESIGN AND TEST History and Trends In Embedded System Memory.
MICROELETTRONICA Design methodologies Lection 8. Design methodologies (general) Three domains –Behavior –Structural –physic Three levels inside –Architectural.
SOC Design at BWRC: A Case Study EE249 Discussion November 30, 1999 Mike Sheets.
1 HW/SW Partitioning Embedded Systems Design. 2 Hardware/Software Codesign “Exploration of the system design space formed by combinations of hardware.
IP Re-Use: The Key Challenge in SOC (System- on-Chip) Product Development D Y Yang Chairman, Taiwan SoC Consortium Jan. 14, 2003.
Spring 08, Jan 15 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Introduction Vishwani D. Agrawal James J. Danaher.
Spring 07, Jan 16 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Introduction Vishwani D. Agrawal James J. Danaher.
Introduction What is Parallel Algorithms? Why Parallel Algorithms? Evolution and Convergence of Parallel Algorithms Fundamental Design Issues.
6/30/2015HY220: Ιάκωβος Μαυροειδής1 Moore’s Law Gordon Moore (co-founder of Intel) predicted in 1965 that the transistor density of semiconductor chips.
1 Platform-Based Design A paper by Alberto Sangiovanni-Vincentelli EE 249, 11/5/2002 Presenter: Mel Tsai.
Hardware/Software Partitioning Witawas Srisa-an Embedded Systems Design and Implementation.
RTL Hardware Design by P. Chu Chapter Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development.
EE587 SoC Design & Test School of EECS Washington State University
What is an IP Core ?.
Role of Standards in TLM driven D&V Methodology
L29:Lower Power Embedded Architecture Design 성균관대학교 조 준 동 교수,
RADIO + MCU + FLASH + USB Low-Power RF System-on-Chip
I N V E N T I V EI N V E N T I V E EDA360 - Is End-to-End Design a Riddle, a Rebus, or a Reality? April 6, 2011.
SOC Consortium Course Material ASIC Logic National Taiwan University Adopted from National Chiao-Tung University IP Core Design.
System On Chip - SoC 전북대학교 전자정보공학부 이종열. Outline Introduction What is SoC ? SoC characteristics Benefits and drawbacks Solution Major SoC Applications.
ECE-777 System Level Design and Automation Introduction 1 Cristinel Ababei Electrical and Computer Department, North Dakota State University Spring 2012.
ON LINE TEST GENERATION AND ANALYSIS R. Šeinauskas Kaunas University of Technology LITHUANIA.
1 3-General Purpose Processors: Altera Nios II 2 Altera Nios II processor A 32-bit soft core processor from Altera Comes in three cores: Fast, Standard,
Lecture 13 Introduction to Embedded Systems Graduate Computer Architecture Fall 2005 Shih-Hao Hung Dept. of Computer Science and Information Engineering.
CAD for Physical Design of VLSI Circuits
3G Single Core Modem A New Telecommunications Device Group 4: Warren Irwin, Austin Beam, Amanda Medlin, Rob Westerman, Brittany Deardian.
May 17, USB Semiconductor IP How to Integrate USB into Your Design Eric Huang inSilicon Corporation.
VLSI & ECAD LAB Introduction.
CMP 4202: VLSI System Design Lecturer: Geofrey Bakkabulindi
CSE 494: Electronic Design Automation Lecture 2 VLSI Design, Physical Design Automation, Design Styles.
J. Christiansen, CERN - EP/MIC
1 System-on-Chip (SoC) Testing An Introduction and Overview of IEEE 1500 Standard Testability Method for Embedded Core-based ICs.
VLSI DESIGN CONFERENCE 1998 TUTORIAL Embedded System Design and Validation: Building Systems from IC cores to Chips Rajesh Gupta University of California,
Introduction to FPGA Created & Presented By Ali Masoudi For Advanced Digital Communication Lab (ADC-Lab) At Isfahan University Of technology (IUT) Department.
Analysis of Verification System using SoC Platform Communication Circuit & System Design Lab., Dept. of Computer and Communication Engineering, Chungbuk.
Business Trends and Design Methodologies for IP Reuse Allen C.-H. Wu Department of Computer Science Tsing Hua University Hsinchu, Taiwan, R.O.C {
IC Products Processors –CPU, DSP, Controllers Memory chips –RAM, ROM, EEPROM Analog –Mobile communication, audio/video processing Programmable –PLA, FPGA.
EE 466/586 VLSI Design Partha Pande School of EECS Washington State University
2D/3D Integration Challenges: Dynamic Reconfiguration and Design for Reuse.
Present – Past -- Future
Axel Jantsch 1 Networks on Chip Axel Jantsch 1 Shashi Kumar 1, Juha-Pekka Soininen 2, Martti Forsell 2, Mikael Millberg 1, Johnny Öberg 1, Kari Tiensurjä.
SOC Virtual Prototyping: An Approach towards fast System- On-Chip Solution Date – 09 th April 2012 Mamta CHALANA Tech Leader ST Microelectronics Pvt. Ltd,
1 Copyright  2001 Pao-Ann Hsiung SW HW Module Outline l Introduction l Unified HW/SW Representations l HW/SW Partitioning Techniques l Integrated HW/SW.
By Nasir Mahmood.  The NoC solution brings a networking method to on-chip communication.
EE141 © Digital Integrated Circuits 2nd Introduction 1 Principle of CMOS VLSI Design Introduction Adapted from Digital Integrated, Copyright 2003 Prentice.
VLSI Design System-on-Chip Design
Introduction to VLSI Design Amit Kumar Mishra ECE Department IIT Guwahati.
ESilicon Confidential IP Strategy Discussion 1.0 November, 2000.
ECE 3110: Introduction to Digital Systems Introduction (Contd.)
Aditya Dayal M. Tech, VLSI Design ITM University, Gwalior.
1 Reuse Methodology for System-On-Chip Designs 전남대학교 정보통신공학부 교수 김 영 철
Presented By Aditya Dayal ITM University, Gwalior.
Programmable Logic Devices
ECE354 Embedded Systems Introduction C Andras Moritz.
ELEC 7770 Advanced VLSI Design Spring 2016 Introduction
Difference Between SOC (System on Chip) and Single Board Computer
THE PROCESS OF EMBEDDED SYSTEM DEVELOPMENT
System On Chip - SoC E.Anjali.
ELEC 7770 Advanced VLSI Design Spring 2014 Introduction
Figure 1 PC Emulation System Display Memory [Embedded SOC Software]
ITRS Roadmap Design Process Open Discussion EDP 2001
ELEC 7770 Advanced VLSI Design Spring 2012 Introduction
ELEC 7770 Advanced VLSI Design Spring 2010 Introduction
HIGH LEVEL SYNTHESIS.
Unit -4 Introduction to Embedded Systems Tuesday.
Presentation transcript:

System On Chip - SoC 전북대학교 전자공학부 이종열

3세대 iPod Shuffle 분해

3세대 iPod Shuffle 분해 2세대 iPod Shuffle

다른 MP3 player 분해

3세대 iPod Shuffle 분해 K9HB608U1A-BCBC

다른 MP3 Player

Outline Introduction What is SoC ? SoC characteristics Benefits and drawbacks Solution Major SoC Applications Summary

Introduction Technological Advances today’s chip can contains 100M transistors transistor gate lengths are now in term of nano meters approximately every 18 months the number of transistors on a chip doubles – Moore’s law The Consequences components connected on a Printed Circuit Board can now be integrated onto single chip hence the development of System-On-Chip design

Outline Introduction What is SoC ? SoC characteristics Benefits and drawbacks Solution Major SoC Applications Summary

What is SoC ? People A: The VLSI manufacturing technology advances has made possible to put millions of transistors on a single die. It enables designers to put systems-on-a-chip that move everything from the board onto the chip eventually. People B: SoC is a high performance microprocessor, since we can program and give instruction to the uP to do whatever you want to do. People C: SoC is the efforts to integrate heterogeneous or different types of silicon IPs on to the same chip, like memory, uP, random logics, and analog circuitry. All of the above are partially right, but not very accurate!!!

What is SoC ? SoC not only chip, but more on “system”. SoC = Chip + Software + Integration The SoC chip includes: Embedded processor ASIC Logics and analog circuitry Embedded memory The SoC Software includes: OS, compiler, simulator, firmware, driver, protocol stackIntegrated development environment (debugger, linker, ICE)Application interface (C/C++, assembly) The SoC Integration includes : The whole system solution Manufacture consultant Technical Supporting

Outline Introduction What is SoC ? SoC characteristics Benefits and drawbacks Solution Major SoC Applications Summary

System on Chip architecture ASIC Typical Design Steps Typical ASIC design can take up to two years to complete Top Level Design Unit Block Design Unit Block Verification Integration and Synthesis Trial Netlists Timing Convergence & Verification System Level Verification Fabrication DVT Prep DVT 6 12 12 4 14 ?? 5 8 Time in Weeks 48 Time to Mask order 61

System on Chip architecture With increasing Complexity of IC’s and decreasing Geometry, IC Vendor steps of Placement, Layout and Fabrication are unlikely to be greatly reduced In fact there is a greater risk that Timing Convergence steps will involve more iteration. Need to reduce time before Vendor Steps. Need to consider Layout issues up-front. SoC Typical Design Steps Top Level Design Unit Block Design Unit Block Verification Integration and Synthesis Trial Netlists Timing Convergence & Verification System Level Verification Fabrication DVT Prep DVT 4 4 2 14 5 4 Time in Weeks 24 Time to Mask order 33

System on Chip interconnection Design reuse is facilitated if “standard” internal connection buses are used . All cores connect to the bus via a standard interface . Any-to-any connections easy but … Not all connections are necessary . Global clocking scheme . Power consumption . Standardization is being addressed by the Virtual Socket Interface Alliance (VSIA)

System on Chip interconnection AMBA (Advanced Microcontroller Bus Architecture) is a collection of buses from ARM for satisfying a range of different criteria. APB (Advanced Peripheral Bus): simple strobed-access bus with minimal interface complexity. Suitable for hosting peripherals. ASB (Advanced System Bus): a multimaster synchronous system bus. AHB (Advanced High Performance Bus): a high- throughput synchronous system backbone. Burst transfers and split transactions.

System on Chip cores One solution to the design productivity gap is to make ASIC designs more standardized by reusing segments of previously manufactured chips. These segments are known as “blocks”, “macros”, “cores” or “cells”. The blocks can either be developed in-house or licensed from an IP company. Cores are the basic building blocks .

System on Chip cores Soft Macro Firm Macro Hard Macro Reusable synthesizable RTL or netlist of generic library elements User of the core is responsible for the implementation and layout Firm Macro Structurally and topologically optimized for performance and area through floor planning and placement Exist as synthesized code or as a netlist of generic library elements Hard Macro Reusable blocks optimized for performance, power, size and mapped to a specific process technology Exist as fully placed and routed netlist and as a fixed layout such as in GDSII format .

System on Chip cores Soft core Firm core Hard core Reusability portability flexibility Firm core Hard core Predictability, performance, time to market

System on Chip cores Locating the required cores and associated contract discussions can be a lengthy process Identification of IP vendors Evaluation criteria Comparative evaluation exercise Choice of core Contract negotiations Reuse restrictions Costs: license, royalty, tool costs Core integration, simulation and verification

Outline Introduction What is SoC ? SoC characteristics Benefits and drawbacks Solution Major SoC Applications Summary

The Benefits There are several benefits in integrating a large digital system into a single integrated circuit . These include Lower cost per gate . Lower power consumption . Faster circuit operation . More reliable implementation . Smaller physical size . Greater design security .

The Drawbacks The principle drawbacks of SoC design are associated with the design pressures imposed on today’s engineers , such as : Time-to-market demands . Exponential fabrication cost . Increased system complexity . Increased verification requirements .

Design gap

Outline Introduction What is SoC ? SoC characteristics Benefits and drawbacks Solution Major SoC Applications Summary

Solution is Design Re-use Overcome complexity and verification issues by designing Intellectual Property (IP) to be re-usable . Done on such a scale that a new industry has been developed. Design activity is split into two groups: IP Authors – producers . IP Integrators – consumers . IP Authors produce fully verified IP libraries Thus making overall verification task more manageable IP Integrators select, evaluate, integrate IP from multiple vendors IP integrated onto Integration Platform designed with specific application in mind

Outline Introduction What is SoC ? SoC characteristics Benefits and drawbacks Solution Major SoC Applications Summary

Major SoC Applications Speech Signal Processing . Image and Video Signal Processing . Information Technologies PC interface (USB, PCI,PCI-Express, IDE,..etc) Computer peripheries (printer control, LCD monitor controller, DVD controller,.etc) . Data Communication Wireline Communication: 10/100 Based-T, xDSL, Gigabit Ethernet,.. Etc Wireless communication: BlueTooth, WLAN, 2G/3G/4G, WiMax, UWB, …,etc

Outline Introduction What is SoC ? SoC characteristics Benefits and drawbacks Solution Major SoC Applications Summary

Summary Technological advances mean that complete systems can now be implemented on a single chip . The benefits that this brings are significant in terms of speed , area and power . The drawbacks are that these systems are extremely complex requiring amounts of verification . The solution is to design and verify re-useable IP .

SoC Trends The SoC Paradigm and Key Trends Time to Market Pressure Design Complexity Issues Deep Submicron Effects

Moore’s Law and Technology Scaling

ITRS Roadmap

Accelerated IC Process Technology

SoC Paradigm

SoC Co-Design Flow

SoC: At the Heart of Conflicting Trends

Ths SoC Challenges and Key Enablers

Evolutionary Problems Key Challenges Improve productivity HW/SW codesign, Transaction-Level Modeling Integration of analog & RF Ips Improved DFT Evolutionary techniques: IP (Intellectual Property) based design Platform-based design

SoC Economic Trends: Mask NRE

Productivity Gap

ASIC v.s. FPGA Complexity

Key Trends ASIC/ASSP (application-specific standard-product) ratio: 80/20 in 2000, 50/50 now In-house ASIC design is down Replaced by off-the-shelf, programmable ASSP Number embedded processors in SoC rising: ST: recordable DVD 5 Hughes: set-top box 7 Agere: Wireless base station 8 ST: HDTV platform 8 Latest mobile handsets 10 NEC: Image processor 128 ST: NPU >150

IP Reuse and IP-Based SoC Design

SoC Design + Rising Complexity = New Challenges

Key Trends: Embedded S/W Content in SoC is Way Up eS/W: Current application complexity Set-top box: >1 million lines of code Digital audio processing: >1 million lines of code Recordable DVD: Over 100 person-years effort Hard-disk drive: Over 100 person-years effort In multimedia systems S/W cost (licenses) 6X larger than H/W chip cost eS/W uses 50% to 80% of design resources eS/W now an essential part of SoC products

Current Practice Heterogeneous multi-processor SoCs are already current practice Problem is that each system is an ad-hoc solution: reaching complexity barrier Little flexibility No effective programming model Lots of low-level programming Poor SW productivity Code not portable

Next-Generation SoC Platforms Key Objectives Flexibility: amortize NRE over more products ‘Softer’ systems: eFPGA, eSoG, eProcessors, combined with standard H/W IP (I/O, peripherals) Fast platform implementation Use of synthesizable, off-the-shelf IP components Scalable SoC interconnect Trend towards standardized platforms Fast time-to-market for platform user Need clean programming model Shield architecture complexity

Networks on a chip

SoC for DVB

Network Processor