ALTERA UP2 Tutorial 1: The 15 Minute Design. Figure 1.1 The Altera UP 1 CPLD development board. ALTERA UP2 Tutorial 1: The 15 Minute Design.

Slides:



Advertisements
Similar presentations
Lecture 11-1 FPGA We have finished combinational circuits, and learned registers. Now are ready to see the inside of an FPGA.
Advertisements

Lecture 15 Finite State Machine Implementation
Xilinx CPLDs and FPGAs Module F2-1. CPLDs and FPGAs XC9500 CPLD XC4000 FPGA Spartan FPGA Spartan II FPGA Virtex FPGA.
Survey of Reconfigurable Logic Technologies
EELE 367 – Logic Design Module 2 – Modern Digital Design Flow Agenda 1.History of Digital Design Approach 2.HDLs 3.Design Abstraction 4.Modern Design Steps.
Programmable Logic Devices
©2004 Brooks/Cole FIGURES FOR CHAPTER 9 MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES Click the mouse to move to the next page. Use the ESC key.
Silicon Programming--Altera Tools1 “Silicon Programming“ programmable logic Altera devices and the Altera tools major tasks in the silicon programming.
Silicon Programming--Altera Tools1 “Silicon Programming“ programmable logic Altera devices and the Altera tools major tasks in the silicon programming.
Introduction to Field Programmable Gate Arrays (FPGAs) COE 203 Digital Logic Laboratory Dr. Aiman El-Maleh College of Computer Sciences and Engineering.
ECE 331 – Digital System Design Tristate Buffers, Read-Only Memories and Programmable Logic Devices (Lecture #16) The slides included herein were taken.
EET 1131 Unit 4 Programmable Logic Devices  Read Kleitz, Chapter 4.  Homework #4 and Lab #4 due next week.  Quiz next week.
Programmable Array Logic (PAL) Fixed OR array programmable AND array Fixed OR array programmable AND array Easy to program Easy to program Poor flexibility.
CS 151 Digital Systems Design Lecture 38 Programmable Logic.
Figure 1.1 The Altera UP 3 FPGA Development board
Chapter 4 Programmable Logic Devices: CPLDs with VHDL Design Copyright ©2006 by Pearson Education, Inc. Upper Saddle River, New Jersey All rights.
EKT303/4 PRINCIPLES OF PRINCIPLES OF COMPUTER ARCHITECTURE (PoCA)
CPLD 1.1 ©Paul R. Godin Last Mod: Dec 2013 gmail.com.
ISE. Tatjana Petrovic 249/982/22 ISE software tools ISE is Xilinx software design tools that concentrate on delivering you the most productivity available.
EE4OI4 Engineering Design Programmable Logic Technology.
Lecture #3 Page 1 ECE 4110– Sequential Logic Design Lecture #3 Agenda 1.FPGA's 2.Lab Setup Announcements 1.No Class Monday, Labor Day Holiday 2.HW#2 assigned.
Unit 9 Multiplexers, Decoders, and Programmable Logic Devices
Ch.9 CPLD/FPGA Design TAIST ICTES Program VLSI Design Methodology Hiroaki Kunieda Tokyo Institute of Technology.
© 2009 Pearson Education, Upper Saddle River, NJ All Rights ReservedFloyd, Digital Fundamentals, 10 th ed Digital Fundamentals Tenth Edition Floyd.
System Arch 2008 (Fire Tom Wada) /10/9 Field Programmable Gate Array.
Lecture #3 Page 1 ECE 4110– Sequential Logic Design Lecture #3 Agenda 1.FPGA's 2.Lab Setup Announcements 1.No Class Monday, Labor Day Holiday 2.HW#2 assigned.
CPLD (Complex Programmable Logic Device)
Galen SasakiEE 260 University of Hawaii1 Electronic Design Automation (EDA) EE 260 University of Hawaii.
FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR FPGA Fabric n Elements of an FPGA fabric –Logic element –Placement –Wiring –I/O.
FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Topics n FPGA fabric architecture concepts.
CHAPTER 9 MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES
Chapter 4 Programmable Logic Devices: CPLDs with VHDL Design Copyright ©2006 by Pearson Education, Inc. Upper Saddle River, New Jersey All rights.
This material exempt per Department of Commerce license exception TSU Xilinx Tool Flow.
Lecture #3 Page 1 ECE 4110–5110 Digital System Design Lecture #3 Agenda 1.FPGA's 2.Lab Setup Announcements 1.HW#2 assigned Due.
BR 1/991 Issues in FPGA Technologies Complexity of Logic Element –How many inputs/outputs for the logic element? –Does the basic logic element contain.
EKT303/4 PRINCIPLES OF PRINCIPLES OF COMPUTER ARCHITECTURE (PoCA)
M.Mohajjel. Why? TTM (Time-to-market) Prototyping Reconfigurable and Custom Computing 2Digital System Design.
ESS | FPGA for Dummies | | Maurizio Donna FPGA for Dummies Basic FPGA architecture.
 Seattle Pacific University EE Logic System DesignAlteraBoard-2 Altera Cyclone II (484 Pin BGA) 22 Pins.
COE4OI5 Engineering Design Chapter 1: The 15 minutes design.
CEC 220 Digital Circuit Design Programmable Logic Devices
Logic and Computer Design Fundamentals, Fifth Edition Mano | Kime | Martin Copyright ©2016, 2008, 2004 by Pearson Education, Inc. All rights reserved.
PLDS Mohammed Anvar P.K AP/ECE Al-Ameen Engineering College.
Delivered by.. Love Jain p08ec907. Design Styles  Full-custom  Cell-based  Gate array  Programmable logic Field programmable gate array (FPGA)
LB Logic Block LB Logic Block LB Logic Block LB Logic Block LB Logic Block LB Logic Block LB Logic Block LB Logic Block LB Logic Block S/V block I/O Cell.
DIGITAL 2 EKT 221 Date : Lecture : 2 hrs. Today’s Outline:  Multi-Level Combinational Logic  Lab1 – Overview (refer to Altera UP2 Manual)
Introduction to the FPGA and Labs
This chapter in the book includes: Objectives Study Guide
Issues in FPGA Technologies
EET 1131 Unit 4 Programmable Logic Devices
ETE Digital Electronics
Sequential Programmable Devices
Figure 1.1 A silicon wafer. Figure 1.1 A silicon wafer.
Figure 1.1 The Altera UP 1 CPLD development board.
This chapter in the book includes: Objectives Study Guide
ECE 4110– 5110 Digital System Design
ECE 4110–5110 Digital System Design
This chapter in the book includes: Objectives Study Guide
Programmable Logic Devices: CPLDs and FPGAs with VHDL Design
These chips are operates at 50MHz clock frequency.
Field Programmable Gate Array
Field Programmable Gate Array
Field Programmable Gate Array
Figure 3.1 Digital logic technologies.
Figure 3.1 Digital logic technologies.
Chapter 13 – Programmable Logic Device Architectures
Figure 3.1 Digital logic technologies.
Digital Fundamentals Tenth Edition Floyd Chapter 11.
FIGURE 5-1 MOS Transistor, Symbols, and Switch Models
Programmable logic and FPGA
Presentation transcript:

ALTERA UP2 Tutorial 1: The 15 Minute Design

Figure 1.1 The Altera UP 1 CPLD development board. ALTERA UP2 Tutorial 1: The 15 Minute Design

DesignCompilationSimulationVerifcation Graphical Entry HDL Entry Compiler Timing Diagram Timing Analysis Program CPLD UP 1 Development Board Figure 1.2 Design process for schematic or VHDL entry. ALTERA UP2 Tutorial 1: The 15 Minute Design

Figures 2.1 The Altera UP 2 board.

Table 2.1 UP 2 device selection jumpers for programming.

Figure 2.3 MAX and FLEX seven-segment LED display segment names.

Table 2.4 UP 2 Board 10K20RC240 FLEX CHIP I/O pin assignments.

Figure 3.1 Digital logic technologies.

Figure 3.2 Digital logic technology tradeoffs.

Figure 3.3 Using a PLA to implement a Sum of Products equation.

Figure 3.4 Examples of FPLDs and advanced high pin count package types.

Figure 3.5 MAX 7000 macrocell. ALTERA MAX 7000 Architecture

Figure 3.6 MAX 7000 CPLD architecture. ALTERA MAX 7000 Architecture

Figure 3.7 FLEX 10K100 FPLD die photo, PIA interconnects are visible.

Figure 3.8 FLEX 10K Logic Element (LE).

Figure 3.9 Using a lookup table (LUT) to model a gate network.

Figure 3.10 FLEX 10K Logic Array Block (LAB).

Figure 3.11 FLEX 10K CPLD architecture.

Figure 3.12 Silicon wafer containing XC4010E 10,000 gate FPGAs. XILINX 4000 Architecture – A LU Table FPGA

Figure 3.13 Single XC4010E FPGA die showing 20 by 20 array of logic elements and interconnect. XILINX 4000 Architecture – A LU Table FPGA

Figure 3.14 Xilinx 4000 Family Configurable Logic Block (CLB).

Figure 3.15 CAD tool design flow for Field Programmable Logic Devices (FPLDs).

Figure 4.1 The tutor2.gdf schematic. Chapter 4: Tutorial II

Figure 4.2 8COUNT symbol online help file

Figure 4.3 Internal VHDL code for dec-7seg function.

Figure 4.4 Hierarchy display of the tutor2 design.

. Figure 4.5 Enlarged view of tutor2 design showing bus connections.

Figure 4.6 Timing analysis using Registered Performance option.

Figure 4.7 Oscilloscope display of pushbutton switch contact bounce.

Figure 4.8 Modified tutor2 design schematic.