© Fraunhofer IIS Methods for architectural design methods of 3D-integrated systems Andy Heinig, Uwe Knöchel Fraunhofer IIS, Design Automation Division.

Slides:



Advertisements
Similar presentations
18 July 2001 Work In Progress – Not for Publication 2001 ITRS Test Chapter ITRS Test ITWG Mike Rodgers Don Edenfeld.
Advertisements

Assembly and Packaging TWG
Packaging.
Embedded Systems Design: A Unified Hardware/Software Introduction 1 Chapter 10: IC Technology.
An International Technology Roadmap for Semiconductors
Constraint Driven I/O Planning and Placement for Chip-package Co-design Jinjun Xiong, Yiuchung Wong, Egino Sarto, Lei He University of California, Los.
A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA, and customizable I/O Borgatti, M. Lertora, F. Foret, B. Cali, L.
Wafer Level Packaging: A Foundry Perspective
Krit Athikulwongse, Dae Hyun Kim, Moongon Jung, and Sung Kyu Lim
3D-IC an Enabler Technology and a new Landscape Yves Leduc Advanced System Technology November 2009.
3D-MAPS: 3D Massively Parallel Processor with Stacked Memory Dae Hyun Kim, Krit Athikulwongse, Michael Healy, Mohammad Hossain, Moongon Jung, et al. Georgia.
Power Integrity Analysis and Optimization in the Substrate Design Harini M, Zakir H, Sukumar M.
MICROELETTRONICA Design methodologies Lection 8. Design methodologies (general) Three domains –Behavior –Structural –physic Three levels inside –Architectural.
1 HW/SW Partitioning Embedded Systems Design. 2 Hardware/Software Codesign “Exploration of the system design space formed by combinations of hardware.
Design and Implementation of VLSI Systems (EN0160) Sherief Reda Division of Engineering, Brown University Spring 2007.
Spring 08, Jan 15 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Introduction Vishwani D. Agrawal James J. Danaher.
Spring 07, Jan 16 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Introduction Vishwani D. Agrawal James J. Danaher.
© imec IMEC and its DESICS division. © imec Personnel (1999): ± 850 people 30,000 m 2 facilities, incl. 6000m 2 ultra clean processing area.
CNRS – INPG – UJF AIDA Workshop, April 8-9, 2013, Frascati (Roma), Italy CAD Tools for 3D-IC and TSV-based designs Kholdoun TORKI
Technology For Realizing 3D Integration By-Dipyaman Modak.
Area-I/O Flip-Chip Routing for Chip-Package Co-Design Progress Report 方家偉、張耀文、何冠賢 The Electronic Design Automation Laboratory Graduate Institute of Electronics.
Application of through-silicon-via (TSV) technology to making of high-resolution CMOS image sensors Name: Qian YU Student ID:
EZ-COURSEWARE State-of-the-Art Teaching Tools From AMS Teaching Tomorrow’s Technology Today.
MonolithIC 3D Inc., Patents Pending MonolithIC 3D ICs RCAT approach 1 MonolithIC 3D Inc., Patents Pending.
Presentation for Advanced VLSI Course presented by:Shahab adin Rahmanian Instructor:Dr S. M.Fakhraie Major reference: 3D Interconnection and Packaging:
Hao-Hsuan, Liu IEE5011 –Autumn 2013 Memory Systems 3D DRAM using TSV technology Hao-Hsuan, Liu Department of Electronics Engineering National Chiao Tung.
UMPC meeting STMicroelectronics Oct 21st Image Sensors with 3D Heterogeneous Integration GIP-CNFM November, 26th 2009 Jean-Luc Jaffard : Deputy.
The Short, Medium and Long-Term Path to the 3D Ecosystem
Avogadro-Scale Engineering: Form and Function MIT, November 18, Three Dimensional Integrated Circuits C.S. Tan, A. Fan, K.N. Chen, S. Das, N.
Nano Technology for Microelectronics Packaging
1 © Fraunhofer IIS/EAS | M. Waqas Chaudhary | IWLPC | October 13-15, 2015 INTERPOSER-BASED INTEGRATION OF ADVANCED MEMORIES AND ASIC M. Waqas Chaudhary.
CAD for Physical Design of VLSI Circuits
Etron Project: Placement and Routing for Chip-Package-Board Co-Design
Comparison of various TSV technology
Open Discussion of Design Flow Today’s task: Design an ASIC that will drive a TV cell phone Exercise objective: Importance of codesign.
CMP 4202: VLSI System Design Lecturer: Geofrey Bakkabulindi
CSE 494: Electronic Design Automation Lecture 2 VLSI Design, Physical Design Automation, Design Styles.
ASIC, Customer-Owned Tooling, and Processor Design Nancy Nettleton Manager, VLSI ASIC Device Engineering April 2000 Design Style Myths That Lead EDA Astray.
Impact of Interconnect Architecture on VPSAs (Via-Programmed Structured ASICs) Usman Ahmed Guy Lemieux Steve Wilton System-on-Chip Lab University of British.
Mechanical Status of EUDET Module Marc Anduze – 05/04/07.
Yi-Lin, Tu 2013 IEE5011 –Fall 2013 Memory Systems Wide I/O High Bandwidth DRAM Yi-Lin, Tu Department of Electronics Engineering National Chiao Tung University.
Testability of Analogue Macrocells Embedded in System-on-Chip Workshop on the Testing of High Resolution Mixed Signal Interfaces Held in conjunction with.
Fan Out WLP Technology Packaging as 2, 3D System in Packaging Solution
1 CS612 Algorithms for Electronic Design Automation CS 612 – Lecture 1 Course Overview Mustafa Ozdal Computer Engineering Department, Bilkent University.
Update on the Design Implementation Methodology for the 130nm process Microelecronics User Group meeting TWEPP 2010 – Aachen Sandro Bonacini CERN PH/ESE.
ADVANCED HIGH DENSITY INTERCONNECT MATERIALS AND TECHNIQUES DIVYA CHALLA.
EE141 © Digital Integrated Circuits 2nd Introduction 1 Principle of CMOS VLSI Design Introduction Adapted from Digital Integrated, Copyright 2003 Prentice.
System in Package and Chip-Package-Board Co-Design
Overview of VLSI 魏凱城 彰化師範大學資工系. VLSI  Very-Large-Scale Integration Today’s complex VLSI chips  The number of transistors has exceeded 120 million 
Interconnect Characteristics of 2.5-D System Integration Scheme Yangdong (Steven) Deng & Wojciech P. Maly
DUSD(Labs) GSRC Calibrating Achievable Design 11/02.
PACKAGE FABRICATION TECHNOLOGY Submitted By: Prashant singh.
Microprocessor Design Process
Die Stacking (3D) Microarchitecture Bryan Black, Murali Annavaram, Ned Brekelbaum, John DeVale, Lei Jiang, Gabriel H. Loh1, Don McCauley, Pat Morrow, Donald.
Marc Anduze – EUDET Meeting – PARIS 08/10/07 Mechanical R&D for EUDET module.
MarketsandMarkets Presents Global 3D IC and TSV Interconnect Market worth $6.55 billion by 2016
Introduction to ASICs ASIC - Application Specific Integrated Circuit
The Interconnect Delay Bottleneck.
ELEC 7770 Advanced VLSI Design Spring 2016 Introduction
TECHNOLOGY TRENDS.
ELEC 7770 Advanced VLSI Design Spring 2014 Introduction
Chapter 10: IC Technology
Overview of VLSI 魏凱城 彰化師範大學資工系.
ELEC 7770 Advanced VLSI Design Spring 2012 Introduction
ELEC 7770 Advanced VLSI Design Spring 2010 Introduction
An Automated Design Flow for 3D Microarchitecture Evaluation
Chapter 10: IC Technology
HIGH LEVEL SYNTHESIS.
Chapter 10: IC Technology
Unit -4 Introduction to Embedded Systems Tuesday.
Presentation transcript:

© Fraunhofer IIS Methods for architectural design methods of 3D-integrated systems Andy Heinig, Uwe Knöchel Fraunhofer IIS, Design Automation Division Zeunerstraße 38, Dresden Phone:

© Fraunhofer IIS Agenda  Introduction  Case study: interposer for Wide I/O memory – processor integration  Chip-Package-Board-Co-design  3D-Floorplanner and Flow  Conclusion

© Fraunhofer IIS Fraunhofer IIS Design Automation Division EAS Profile One of the largest research institutions in the field of design automation in Europe Microelectronics and heterogeneous systems Focus on all aspects of functional design Challenges Mastering the growing complexity of electronic systems Closing the gap between manufacturing and system design Comprehensive consideration of different physical domains

© Fraunhofer IIS Fraunhofer IIS/EAS History Involved in 3D projects for over 10 years Starting with electrical characterization of TSVs and thermal simulation Focused on technology improvement Today Multi physics analysis for library development and design rules Integration into Design tools and flows Development of methods for design space exploration (aca path finding, scouting) and floorplanning Support of system design

© Fraunhofer IIS Why should the designer move from SoC to 3D Integration?  Integration of mixed technologies  Performance  Reduced interconnect length  Lower delay, higher speed  Reduced power consumption  Form Factor  Reduced volume and weight  Reduced footprint  Reduced Costs  Cost per transistor start to grow below 22-nm  Integration of heterogeneous technologies  Integration of dies from high volume production  Higher re-use rate (IP cores)

© Fraunhofer IIS What designers ask:  What is the diameter of TSV? How big is the keep-out zone?  How many TSV can I use?  Who manufactures prototypes?  Who provides the interposer?  Who makes stacking and packaging?  How much costs this?  Who manufactures products?  Production time?  Prizes?  Testability?  How can I design this?  EDA-tool environment  Design Guidelines / Design-Kits

© Fraunhofer IIS Evolution of advanced packaging 3D-integration  System and individual dies are optimized for 3D  Provides highest performance and integration level  Co-design needed  Still in development only few products on market (memory stacks, processor with memory) 2.5D-integration with interposer  Multiple IC are integrated at substrate or interposer  Supports integration of existing IP  Commercial application has been started  Reduced signal delay Side-by-side Top-bottom Direct stacking

© Fraunhofer IIS Challenges for 2.5D and 3D design Top challenge for system design Early estimation of performance, cost, reliability and risks Why is this so difficult? Design space complexity Structural complexity Multi scale, multi physics Exceeds capacity of current simulators Process complexity Collaboration complexity System architect Component Designers IC manufactures OSATs

© Fraunhofer IIS Modeling Concepts for Multi-Physics- Analysis FE model (ANSYS) + Modular building blocks Thermal simulation of entire 3D stack Thermal behavioral model Model order reduction (Electro-)Thermal system simulation Detailed analysis of individual or critical parts with 3D-FEM analysis Development of models at higher abstraction levels to handle system complexity Interfaces between different tools

© Fraunhofer IIS Agenda  Introduction  Case study: interposer for Wide I/O memory – processor integration  Chip-Package-Board-Co-design  3D-Floorplanner and Flow  Conclusion

© Fraunhofer IIS Application: memory on memory, memory on processor stacking JEDEC wide I/O memory standard for 3D integration: JESD229 First Standard: JEDEC Wide I/O memory Very small size of pad area 100 * 12 field of pads With 50 * 40um Pitch Pads are located in the center of memory die Wide I/O RAM 10*9

© Fraunhofer IIS Case study: Side by side integration of JEDEC Wide I/O memory and processor Requirements 2200 pins processor, 1200 pins memory, 1000 pins external Side by side integration to avoid thermal and other problems Optimized for mass production Pads are located in the center of memory die Wide I/O RAM 10*9 mm² CPU 10*10

© Fraunhofer IIS 2.5D interposer for JEDEC Wide I/O memory Initial Configuration Top of interposer 4 metal layers for signal routing 1 additional metal layer for pads Bottom of interposer 1 metal layer for signal routing 1 additional metal layer for pads As both active IC placed on top, signals will be mainly routed on top of interposer -> less TSV needed Technology Parameters:  10um metal width  10um metal space  10um TSV But 5 metal on top and only 2 at bottom is not a good choice …

© Fraunhofer IIS Technology Design Guide Lines Metal: Space 8µm Width 8µm Height 3µm Dielectric: Polymer e r 2.9 Thickness 5µm TSV: Diameter 10µm Depth 120µm Warping is critical!

© Fraunhofer IIS 2.5D interposer for JEDEC Wide I/O memory Second configuration Top of interposer 2 metal layers for signal routing 1 additional metal layer for pads Bottom of interposer 2 metal layer for signal routing 1 additional metal layer for pads Number of metal layers balanced between top and bottom More TSV needed (also for connections between processor and memory) Simultions shows that timing constraints can be achieved with routing via TSV Technology Parameters:  10um metal width  10um metal space  10um TSV The warping can be avoided, but we can additionally save costs …

© Fraunhofer IIS 2.5D interposer for JEDEC Wide I/O memory Cost optimized configuration Top of interposer 1 metal layer for signal routing 1 metal layer for routing and pads Bottom of interposer 1 metal layer for signal routing 1 metal layer for routing and pads Number of metal layers balanced Only two metal layers on each side! Technology Parameters:  10um metal width  10um metal space  10um TSV Challenge:  Very high density of wires at interposer  Standard routing algorithms need more metal layers  Optimized interposer was routed by our inhouse algorithms

© Fraunhofer IIS Interposer for Processor and JEDEC Wide IO Memory Manufacturing of wide-I/O demonstrator is ongoing at our colleagues from Fraunhofer IZM/ASSID. Cost optimized configuration – Interposer layers and TSV

© Fraunhofer IIS Agenda  Introduction  Case study: interposer for Wide I/O memory – processor integration  Chip-Package-Board-Co-design  3D-Floorplanner and Flow  Conclusion

© Fraunhofer IIS  Evaluation of different packages  Evaluation of different stacking technologies  Side-by-side in package (e.g. SiP, eWLB)  Side-by-side at interposer  3D stacking  Evaluation of different assembly technologies  Ball, bumps, bonding  Die to wafer, wafer to wafer  Embedding of passives  Evaluation of PCB technology (routability around device)  Considering performances  e.g. timing, temperature  Consideration of production cost demands Chip-Package-Board-Co-design (1) Requirements

© Fraunhofer IIS  Optimization goal is production cost under consideration of performance requirements  First generic cost function available, extension to company specific functions is ongoing Chip-Package-Board-Co-design (2) Goal: Guide the system designer to find the best suited solution.

© Fraunhofer IIS Thermal aware design space exploration Estimation of heat distribution in a stack evaluation of thermal constraints during design space exploration further research on package modeling ongoing Initial floor planAfter thermal optimization Final result (heat transfer and die size optimized) Thermal behavioral model

© Fraunhofer IIS Agenda  Introduction  Case study: interposer for Wide I/O memory – processor integration  Chip-Package-Board-Co-design  3D-Floorplanner and Flow  Conclusion

© Fraunhofer IIS 3D- chip-stack co-design Fraunhofer EAS Gate level netlist adjust parameters refinement coarsening netlist parameters 3D-Floorplaning insert TSVs P&R die by die solution start Grouping into super cells 3D placement of supercells and TSV positions Detailed floorplanof layer Place and route of individual dies with 2D tools Result evaluation

© Fraunhofer IIS Agenda  Introduction  Case study: interposer for Wide I/O memory – processor integration  Chip-Package-Board-Co-design  3D-Floorplanner and Flow  Conclusion

© Fraunhofer IIS 3D Integration – Summary  3D-integration technologies offer  A wide design space for system realization  Increased system performance and higher integration level  3D-integration is still a new technology  Only few reference projects exist  Commercial products starts with 2.5D at interposer  Yield of 3D-manufacturing is increasing continuously  Broader application of TSV-based products needs better ecosystem  EDA tools need to be enhanced or developed  Design-kits, design-rules and cost models are required  Standardization of design and manufacturing processes is ongoing, (IEEE, JEDEC, Semi Si2)

© Fraunhofer IIS References 1.Limansyah, I., Wolf, M. J., Klumpp, A., Zoschke, K., Wieland, R., Klein, M., Oppermann, H., Nebrich, L., Heinig, A., Pechlaner, A., Reichl, H., Weber, W.: 3d image sensor sip with tsv silicon interposer, ECTC 2009, San Diego (2009) 2.Karnik, T.: 3d architectures and cad tools, D43D Workshop, Lausanne (2010) 3.Schneider, P., Elst, G.: Modeling approaches and design methods for 3D system design. In: Garrou, P.: Handbook of 3D integration. Vol.2: Technology and applications of 3D integrated circuits, Weinheim: Wiley-VCH, 2008, pp Elst, G., Schneider, P., Ramm, P.: Modeling and Simulation of Parasitic Effects in Stacked Silicon. Proc MRS Fall Meeting, November 27-December 1, 2006, Boston 5.Karypis, G., Aggarwal, R., Kumar, V., Shekhar, S.: Multilevel hypergraph partitioning: Applications in vlsi domain, 34th Design and Automation Conference, pp. 526 – 529, Schneider, P., Heinig, A., Fischbach, R., Lienig, J., Reitz, S., Stolle, J., Wilde, A.: Integration of multi physics modeling of 3D stacks into modern 3D data structures. 2nd IEEE International 3D System Integration Conference, 3DIC Heinig, A., Schuster, T.: A 3d stack cost optimization floorplanning and routing approach, DATE Workshop for 3D Integration, Grenoble Knöchel, U., Heinig, A., Stolle, J.,; Reitz, S., Wilde, A.: Electronic design automation for implementation of 3D integrated systems. In: Heuberger, A.: Microelectronic systems. Circuits, systems and applications : Circuits, Systems and Applications, Berlin: Springer, 2011, pp Reitz, S., Heinig, A., Martin, R.,; Stolle, J., Wilde, A.,: Thermal modeling of 3D stacks for floorplanning. 17th International Workshop on Thermal investigations of ICs and Systems, THERMINIC 2011 : September 2011, Paris, France, Grenoble: EDA Publishing, 2011, pp Wolf S., Knoechel U., Heinig A., XML-Based Hierarchical Description of 3D Systems and SIP, IEEE Design & Test, Special Issue on EDA Industry Standards, August 2012

© Fraunhofer IIS Our Offerings Support of 3D-integration projects from concept to prototype implementation Case studies Cost and performance estimation Consideration of individual demands (e.g. reuse of existing dies) Design support from concept level down to implementation Interposer design Design Space Exploration and 3D-floorplanning modeling of multi-physical effects (e.g. heat distribution) Planning of prototype production with cooperation partners Development of modeling- and design- methodology Detailed multi-physical analyses of package- and TSV-structures Model development and implementation in design tools

© Fraunhofer IIS 2.5 and 3D Design and Dresden  Strong dependency between design and technology (manufacturability, reliability, and yield)  Fraunhofer offers technology/manufacturing and design support at their institutes in Dresden Technology Fraunhofer ASSID Design Fraunhofer IIS/EAS RequirementsProduct Reliability Characterization Fraunhofer IZFP