EE 587 SoC Design & Test Partha Pande School of EECS Washington State University

Slides:



Advertisements
Similar presentations
IC TESTING.
Advertisements

Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 31/22alt1 Lecture 31 System Test (Lecture 22alt in the Alternative Sequence) n Definition n Functional.
Apr. 20, 2001VLSI Test: Bushnell-Agrawal/Lecture 311 Lecture 31 System Test n Definition n Functional test n Diagnostic test  Fault dictionary  Diagnostic.
Slides based on Kewal Saluja
March 23, 2001VLSI Test: Bushnell-Agrawal/Lecture 211 Lecture 21 I DDQ Current Testing n Definition n Faults detected by I DDQ tests n Vector generation.
EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer.
Copyright 2001, Agrawal & BushnellDay-1 AM-3 Lecture 31 Testing Analog & Digital Products Lecture 3: Fault Modeling n Why model faults? n Some real defects.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 221 Lecture 22 Delta I DDQ Testing and Built-In Current Testing n Current limit setting n Testing.
Copyright 2005, Agrawal & BushnellVLSI Test: Lecture 19alt1 Lecture 19alt I DDQ Testing (Alternative for Lectures 21 and 22) n Definition n Faults detected.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 211 Lecture 21 I DDQ Current Testing n Definition n Faults detected by I DDQ tests n Vector generation.
FPGA structure and programming - Eli Kaminsky 1 FPGA structure and programming.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 301 Lecture 30 IEEE JTAG Analog Test Access Port and Standard n Motivation n Bus overview n.
11/5/2004EE 42 fall 2004 lecture 281 Lecture #28 PMOS LAST TIME: NMOS Electrical Model – NMOS physical structure: W and L and d ox, TODAY: PMOS –Physical.
Logic Synthesis For Low Power CMOS Digital Design.
Lecture 5 Fault Modeling
Copyright 2001, Agrawal & BushnellDay-2 PM Lecture 121 Design for Testability Theory and Practice Lecture 12: System Diagnosis n Definition n Functional.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 51 Lecture 5 Fault Modeling n Why model faults? n Some real defects in VLSI and PCB n Common fault.
VLSI Digital System Design
Lecture 5 – Power Prof. Luke Theogarajan
Lecture 7: Power.
EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
5/24/2016 Based on text by S. Mourad "Priciples of Electronic Systems" Digital Testing: Defects, Failures and Faults.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
EE466: VLSI Design Power Dissipation. Outline Motivation to estimate power dissipation Sources of power dissipation Dynamic power dissipation Static power.
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Fault Modeling.
ENGG 6090 Topic Review1 How to reduce the power dissipation? Switching Activity Switched Capacitance Voltage Scaling.
Modern VLSI Design 4e: Chapter 7 Copyright  2008 Wayne Wolf Topics Global interconnect. Power/ground routing. Clock routing. Floorplanning tips. Off-chip.
1 Adaptive On-Chip Test Strategies for Complex Systems V. Stopjaková Department of Microelectronics, STU Bratislava, Slovakia.
EE415 VLSI Design DYNAMIC LOGIC [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
Logic Synthesis For Low Power CMOS Digital Design.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Chapter 07 Electronic Analysis of CMOS Logic Gates
Unit I Testing and Fault Modelling
FPGA-Based System Design: Chapter 2 Copyright  2003 Prentice Hall PTR Topics n Off-chip connections.
Washington State University
ECE 7502 Project Final Presentation
XIAOYU HU AANCHAL GUPTA Multi Threshold Technique for High Speed and Low Power Consumption CMOS Circuits.
Robust Low Power VLSI ECE 7502 S2015 Minimum Supply Voltage and Very- Low-Voltage Testing ECE 7502 Class Discussion Elena Weinberg Thursday, April 16,
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Recent Topics on Programmable Logic Array
Washington State University
Fault Models, Fault Simulation and Test Generation Vishwani D. Agrawal Department of ECE, Auburn University Auburn, AL 36849, USA
EE141 © Digital Integrated Circuits 2nd Devices 1 Goal of this lecture  Present understanding of device operation  nMOS/pMOS as switches  How to design.
1 Interconnect/Via. 2 Delay of Devices and Interconnect.
Modern VLSI Design 3e: Chapter 7 Copyright  1998, 2002 Prentice Hall PTR Topics n Power/ground routing. n Clock routing. n Floorplanning tips. n Off-chip.
EE434 ASIC & Digital Systems Partha Pande School of EECS Washington State University
Bi-CMOS Prakash B.
CS/EE 3700 : Fundamentals of Digital System Design
11-1 Integrated Microsystems Lab. EE372 VLSI SYSTEM DESIGNE. Yoon Latch-up & Power Consumption Latch-up Problem Latch-up condition  1   2 >1 GND Vdd.
EE141 © Digital Integrated Circuits 2nd Combinational Circuits 1 A few notes for your design  Finger and multiplier in schematic design  Parametric analysis.
Jan. 26, 2001VLSI Test: Bushnell-Agrawal/Lecture 51 Lecture 5 Fault Modeling n Why model faults? n Some real defects in VLSI and PCB n Common fault models.
Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 6.1 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng.
EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
EE 466/586 VLSI Design Partha Pande School of EECS Washington State University
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 51 Lecture 5 Fault Modeling n Why model faults? n Some real defects in VLSI and PCB n Common fault.
Signal conditioning Noisy. Key Functions of Signal Conditioning: Amplification Filter  Attenuation  Isolation  Linearization.
LOW POWER DESIGN METHODS
VLSI Testing Lecture 14: System Diagnosis
LOW POWER DESIGN METHODS V.ANANDI ASST.PROF,E&C MSRIT,BANGALORE.
VLSI Design MOSFET Scaling and CMOS Latch Up
Topics Off-chip connections..
COUPING WITH THE INTERCONNECT
Electrical Rules Check
Lecture 5 Fault Modeling
Lecture 7: Power.
Lecture 7: Power.
VLSI Testing Lecture 3: Fault Modeling
Presentation transcript:

EE 587 SoC Design & Test Partha Pande School of EECS Washington State University

2 I DDQ Current Testing

Basic Principle of I DDQ Testing n Measure I DDQ current through V ss bus

Basic Principles n IDDQ testing refers to the integrated circuit (IC) testing method based upon measurement of steady state power-supply current. n Iddq stands for quiescent Idd, or quiescent power- supply current. n in case of a defect such as gate-oxide short or short between two metal lines, a conduction path from power-supply (Vdd) to ground (Gnd) is formed and subsequently the circuit dissipates significantly high current. n This faulty current is a few orders of magnitude higher than the fault-free leakage current. n Iddq testing provides physical defect oriented testing

Physical Defects n Wafer defects are found in clusters. These clusters are randomly distributed over the whole wafer. Every part of the wafer has an equal probability of having a defect cluster. n Any part of a diffusion, Polysilicon, or metal line may have an open fault. Any contact between any two layers may be open. n Bridging may occur between any two electrical nodes, whether they belong to one layer or different layers n Only a small percentage of bridging and open faults can be modeled at the stuck-at level. The actual distribution varies and largely depends on the technology and fabrication process.

Bridging

in the presence of bridging, a conduction path is formed from Vdd to Gnd. Subsequently, the circuit dissipates a large current through this path, and thus, simple monitoring of the supply current can detect bridging.

Floating Gate Defects n Small break in logic gate inputs (100 – 200 Angstroms) lets wires couple by electron tunneling  Delay fault and I DDQ fault n Large open results in stuck-at fault – not detectable by I DDQ test  If V tn < V fn < V DD - | V tp | then detectable by I DDQ test

Capacitive Coupling of Floating Gates n C pb – capacitance from poly to bulk n C mp – overlapped metal wire to poly n Floating gate voltage depends on capacitances and node voltages n If nFET and pFET get enough gate voltage to turn them on, then I DDQ test detects this defect

NAND Open Circuit Defect – Floating gate

Open  Not very effective for open defects  The vector AB=01 sensitizes the open  In the presence of the open output of the gate is in high impedance  The vector before the sensitization vectors defines the logic values at the output

Iddq Testing in SoCs n SoCs contain huge number of transistors n Summation of leakage current of all transistors becomes too large to distinguish between faulty and fault-free chips n Most of the SoCs contain multiple power supplies n Iddq testing is done on one power supply at a time

Iddq Testing in DSM n The theoretical basis of Iddq testing is based upon estimation of defect-free current in the circuit and then setting a limit (popularly, called as Iddq threshold) above which a circuit is considered defective.

Iddq Testing in DSM n When the density functions of defect-free and defective current are separate from each other, the clear distinction between the good and the defective IC can be made. n However, with technology shrink (increased sub- threshold leakage) and increasing number of gates in an IC, the mean value of the distribution of defect-free current increases and approaches the Iddq threshold limit (set from earlier technology). n Just changing the threshold limit to a higher number does not resolve the issue because with high leakage in the circuit, change in defect-free and defective current is very small

Iddq Testing in DSM Two mechanisms have been proposed to reduce I off : reduced temperature and substrate bias.

Design-for-Iddq-Testing n Avoid any possible static high current state in the circuit; if a high current state is unavoidable, then re-design so that it can be isolated during Iddq testing. n All static current dissipating logic should be switched off, this includes memory sense-amps, dynamic logic, asynchronous logic, pull-up/pull- down resistors, special I/O buffers and analog circuitry.

Design-for-Iddq-Testing Global control signal to switch off static current dissipating logic

Iddq Testing in SoC n The global power-down control signal based design methodologies are also very important for system- on-a-chip (SoC) designs using embedded cores. n In SoCs we need one power supply control signal per core n One pin per core is needed n Extra overhead

JTAG & Iddq

Iddq Testing in SoC n Some type of partitioning method is needed for Iddq testing in embedded core-based system chips n Power_Down control signals are used to selectively switch off portions of the SoC

Iddq Testing in SoC

n Four instructions n Power_Down_A, Power_Down_B, Power_Down_C, Power_Down_Main n When any one of these instructions are loaded into the boundary scan instruction register, one Power_Down signal is kept at 1 while all other Power_Down signals are set to 0 n The Power_Down control signals at 0 cuts off the power supply of the respective blocks n Power_Down_Main n It sets all Power_Down signals to 0 n Testing of the glue logic

Iddq Testing in SoC n The previous method can be applied to IEEE P1500 standard n We need to modify the Wrapper Instruction Register

Difference in Histograms n A – test escapes, B – yield loss

Delta I DDQ Testing n Use derivative of I DDQ at test vector i as current signature ΔI DDQ (i) = I DDQ (i) – I DDQ (i – 1) n Leads to a narrower histogram n Eliminates variation between chips and between wafers Select decision threshold Δ def to minimize probability of false test decisions

Iddq Measurements n Generally it is performed at a slow speed n The necessary requirement for Iddq testing is that all current spikes in the circuit due to switching activity should die down n 1–10 ms is sufficient time for this purpose n On-chip & off-chip current measurement techniques

On-chip current sensor

Limitations of on-chip sensors n Circuit partitioning requirement significantly increases design complexity n Multiple on-chip sensors. For large IC’s, one on-chip sensor is inadequate and multiple sensors result into significant hardware overhead n A permanent loading on circuit power supply. Due to increased parasitic and loading, on-chip sensor result into significant performance penalty even during normal operation of the circuit

Off Chip Current Measurements Problem with insertion inductance

Off Chip Current Measurements

Summary n I DDQ tests improve reliability, find defects causing:  Delay, bridging, weak faults  Chips damaged by electro-static discharge n No natural breakpoint for current threshold  Get continuous distribution – bimodal would be better n Conclusion: now need stuck-fault, I DDQ, and delay fault testing combined n Still uncertain whether I DDQ tests will remain useful as chip feature sizes shrink further