Noise and Delay Uncertainty Studies for Coupled RC Interconnects Andrew B. Kahng, Sudhakar Muddu † and Devendra Vidhani ‡ UCLA Computer Science Department,

Slides:



Advertisements
Similar presentations
Topics Electrical properties of static combinational gates:
Advertisements

EE 201A Modeling and Optimization for VLSI LayoutJeff Wong and Dan Vasquez EE 201A Noise Modeling Jeff Wong and Dan Vasquez Electrical Engineering Department.
Operational Amplifiers 1. Copyright  2004 by Oxford University Press, Inc. Microelectronic Circuits - Fifth Edition Sedra/Smith2 Figure 2.1 Circuit symbol.
4/22/ Clock Network Synthesis Prof. Shiyan Hu Office: EREC 731.
Net-Ordering for Optimal Circuit Timing in Nanometer Interconnect Design M. Sc. work by Moiseev Konstantin Supervisors: Dr. Shmuel Wimer, Dr. Avinoam Kolodny.
1 On Convergence of Switching Windows Computation in Presence of Crosstalk Noise Pinhong Chen* +, Yuji Kukimoto +, Chin-Chi Teng +, Kurt Keutzer* *Dept.
Noise Model for Multiple Segmented Coupled RC Interconnects Andrew B. Kahng, Sudhakar Muddu †, Niranjan A. Pol ‡ and Devendra Vidhani* UCSD CSE and ECE.
The Impact of Variability on the Reliability of Long on-chip Interconnect in the Presence of Crosstalk Basel Halak, Santosh Shedabale, Hiran Ramakrishnan,
EE466: VLSI Design Lecture 11: Wires
EE 447 VLSI Design Lecture 5: Wires. EE 447VLSI Design 6: Wires2 Outline Introduction Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering.
NuCAD ELECTRICAL ENGINEERING AND COMPUTER SCIENCE McCormick Northwestern University Robert R. McCormick School of Engineering and Applied Science FA-STAC.
1 A Fast, Analytical Estimator for the SEU-induced Pulse Width in Combinational Designs By: Rajesh Garg Charu Nagpal Sunil P. Khatri Department of Electrical.
Statistical Crosstalk Aggressor Alignment Aware Interconnect Delay Calculation Supported by NSF & MARCO GSRC Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego.
Introduction to CMOS VLSI Design Lecture 4: DC & Transient Response
04/11/02EECS 3121 Lecture 26: Interconnect Modeling, continued EECS 312 Reading: 8.2.2, (text) HW 8 is due now!
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 15: Interconnects & Wire Engineering Prof. Sherief Reda Division of Engineering,
Introduction to CMOS VLSI Design Lecture 4: DC & Transient Response Credits: David Harris Harvey Mudd College (Material taken/adapted from Harris’ lecture.
© Digital Integrated Circuits 2nd Inverter CMOS Inverter: Digital Workhorse  Best Figures of Merit in CMOS Family  Noise Immunity  Performance  Power/Buffer.
04/09/02EECS 3121 Lecture 25: Interconnect Modeling EECS 312 Reading: 8.3 (text), 4.3.2, (2 nd edition)
Chung-Kuan Cheng†, Andrew B. Kahng†‡,
Introduction to CMOS VLSI Design Interconnect: wire.
Outline Noise Margins Transient Analysis Delay Estimation
Effects of Global Interconnect Optimizations on Performance Estimation of Deep Sub-Micron Design Yu (Kevin) Cao 1, Chenming Hu 1, Xuejue Huang 1, Andrew.
NuCAD ELECTRICAL ENGINEERING AND COMPUTER SCIENCE McCormick Northwestern University Robert R. McCormick School of Engineering and Applied Science Nostra-XTalk.
DC and transient responses Lezione 3
Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 9.1 EE4800 CMOS Digital IC Design & Analysis Lecture 9 Interconnect Zhuo Feng.
CMOS VLSI Design4: DC and Transient ResponseSlide 1 EE466: VLSI Design Lecture 05: DC and transient response – CMOS Inverters.
Statistical Gate Delay Calculation with Crosstalk Alignment Consideration Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego
EE4800 CMOS Digital IC Design & Analysis
Temperature-Aware Design Presented by Mehul Shah 4/29/04.
EE 447 VLSI Design 4: DC and Transient Response1 VLSI Design DC & Transient Response.
Effects of Global Interconnect Optimizations on Performance Estimation of Deep Sub-Micron Design Yu Cao, Chenming Hu, Xuejue Huang, Andrew B. Kahng, Sudhakar.
Power, Energy and Delay Static CMOS is an attractive design style because of its good noise margins, ideal voltage transfer characteristics, full logic.
The CMOS Inverter Slides adapted from:
Signal Integrity Methodology on 300 MHz SoC using ALF libraries and tools Wolfgang Roethig, Ramakrishna Nibhanupudi, Arun Balakrishnan, Gopal Dandu Steven.
EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
MOS Inverter: Static Characteristics
A Methodology for Interconnect Dimension Determination By: Jeff Cobb Rajesh Garg Sunil P Khatri Department of Electrical and Computer Engineering, Texas.
Modern VLSI Design 4e: Chapter 4 Copyright  2008 Wayne Wolf Topics n Interconnect design. n Crosstalk. n Power optimization.
Crosstalk Analysis in UDSM technologies
Data Acquisition ET 228 Op –Amp Applications Subjects Covered Overview of OP Amp Applications High Resistance Voltmeters Phase Shifter Circuit Integrators.
Research on Analysis and Physical Synthesis Chung-Kuan Cheng CSE Department UC San Diego
1. Department of Electronics Engineering Sahand University of Technology NMOS inverter with an n-channel enhancement-mode mosfet with the gate connected.
Chapter 07 Electronic Analysis of CMOS Logic Gates
EE141 © Digital Integrated Circuits 2nd Wires 1 Digital Integrated Circuits A Design Perspective The Interconnect Jan M. Rabaey Anantha Chandrakasan Borivoje.
Modern VLSI Design 3e: Chapter 4 Copyright  1998, 2002 Prentice Hall PTR Topics n Interconnect design. n Crosstalk. n Power optimization.
Introduction to CMOS VLSI Design MOS devices: static and dynamic behavior.
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 33: November 20, 2013 Crosstalk.
1 Interconnect/Via. 2 Delay of Devices and Interconnect.
Lecture 14: Wires. CMOS VLSI DesignCMOS VLSI Design 4th Ed. 14: Wires2 Outline  Introduction  Interconnect Modeling –Wire Resistance –Wire Capacitance.
Distributed Computation: Circuit Simulation CK Cheng UC San Diego
VLSI CIRCUIT ELEMENTS - Prof. Rakesh K. Jha
VLSI INTERCONNECTS IN VLSI DESIGN - PROF. RAKESH K. JHA
Chapter 4: Secs ; Chapter 5: pp
Modern VLSI Design 3e: Chapter 3 Copyright  1998, 2002 Prentice Hall PTR Topics n Electrical properties of static combinational gates: –transfer characteristics;
Inductance Screening and Inductance Matrix Sparsification 1.
-1- Delay Uncertainty and Signal Criticality Driven Routing Channel Optimization for Advanced DRAM Products Samyoung Bang #, Kwangsoo Han ‡, Andrew B.
A Novel Timing-Driven Global Routing Algorithm Considering Coupling Effects for High Performance Circuit Design Jingyu Xu, Xianlong Hong, Tong Jing, Yici.
1 Modeling and Optimization of VLSI Interconnect Lecture 2: Interconnect Delay Modeling Avinoam Kolodny Konstantin Moiseev.
1 Clarinet: A noise analysis tool for deep submicron design Rafi Levy Gabi Bracha, David Blaauw, Aurobindo Dasgupta, Amir Grinshpon,
Wires & wire delay Lecture 9 Tuesday September 27, 2016.
Worst Case Crosstalk Noise for Nonswitching Victims in High-Speed Buses Jun Chen and Lei He.
Jason Cong, David Zhigang Pan & Prasanna V. Srinivas
SIDDAGANGA INSTITUTE OF TECHNOLOGY
SIDDAGANGA INSTITUTE OF TECHNOLOGY
Lesson 11: Transducer Electrical Interfaces
Chapter 2 Interconnect Analysis Delay Modeling
Chapter 3b Static Noise Analysis
Applications of GTX Y. Cao, X. Huang, A.B. Kahng, F. Koushanfar, H. Lu, S. Muddu, D. Stroobandt and D. Sylvester Abstract The GTX (GSRC Technology Extrapolation)
Jason Cong, David Zhigang Pan & Prasanna V. Srinivas
Presentation transcript:

Noise and Delay Uncertainty Studies for Coupled RC Interconnects Andrew B. Kahng, Sudhakar Muddu † and Devendra Vidhani ‡ UCLA Computer Science Department, † Silicon Graphics Inc., ‡ Sun Microsystems,

KMV - ASIC992 Outline of Talk  Signal Integrity issues  Previous works  Our Contributions –Circuits Models –Delay and Noise Equations  Simulation results  Conclusions

KMV - ASIC993  Interconnect induced issues –scaled linewidths greater wire and via RC –increased aspect ratios greater wire and via RC –larger die sizes greater wire and via RC –more metal layers higher coupling to ground ratio  Process Induced Issues –low device thresholds increased susceptibility to low noise margins –low V DD increased susceptibility to low noise margins –high frequency faster slew times Factors Affecting Signal Integrity

KMV - ASIC994 Focus: Crosstalk Issues  Functionality Issues –peak noise  false switching of noise sensitive nodes in the design  Timing Issues –delay uncertainty  maximum difference between maximum and minimum victim line delay over all possible cases of switching activity on neighboring aggressor line(s)  Motivation: find noise issues ASAP!! –find signal integrity problem earlier in deisgn –provide sufficient conditions for finding problem

KMV - ASIC995 Outline of Talk  Signal Integrity issues  Previous works  Our Contributions –Circuits Models –Delay and Noise Equations  Simulation results  Conclusions

KMV - ASIC996 Previous Work on Signal Integrity  Vittal et. Al., 97: L model; step input; ignore R int, C int  Kawaguchi et. Al., 98: diffusion equations; step input; same peak noise expressions as Vittal  Nakagawa et. Al., 98: L model; assumptions about peak noise time  Shepard et. Al., 97: L model; ignores R and C of aggressors; uses ramp with heuristics

KMV - ASIC997 Previous Work on Signal Integrity Issues  Circuit models issues –use lumped capacitance models –use charge sharing models  Noise models issues –estimations very pessimistic –assumptions about R and C –assume zero slew rate –some are simulation based

KMV - ASIC998 Outline of Talk  Signal Integrity issues  Previous works  Our Contributions –Circuits Models –Delay and Noise Equations  Simulation results  Conclusions

KMV - ASIC999 Our Work  Improved peak noise and delay and noise models –better peak noise estimates –analytical equations for delay uncertainty  Methodology –for coupled RC interconnects only –takes drivers into account –considers slew times –considers both lumped L-Model and  -Model –considers both local and global lines

KMV - ASIC9910 Our Work  Circuit model  L model   model  Noise analysis and peak noise expressions  Delay analysis and delay uncertainty

KMV - ASIC9911 Circuit Model  Two parallel coupled lines  Aggressor - Green; Victim - Red  Coupling capacitance - C c  Supply voltages - V s1, V s2 Aggressor Line Victim Line V s1 V s2 Driver 1 Driver 2 Load 1 Load 2 CcCc

KMV - ASIC9912  No resistance  Lumped capacitance - C 1, C 2  Load capacitance - C L1, C L2  Node C has noise voltage Charge Sharing Model C B V s1 V s2 C L1 Aggressor Line Victim Line C c1 C’1C’1 C1C1 C L2

KMV - ASIC9913 Noise Analysis For Charge Sharing Model  Basic noise analysis model –Victim line quiet –Aggressor line switching  Peak noise defined by ratio of coupling capacitance to total capacitance of wire

KMV - ASIC9914  All resistances considered  Lumped capacitances  Different slew times considered Lumped L Model B C V s1 V s2 R d2 R1R1 R’1R’1 C L1 Aggressor Line Victim Line R d1 C c1 C’1C’1 C1C1 C L2  Solve using nodal equations at B and C

KMV - ASIC9915  M 1, M 2, a 1, and a 2, are given as Solving L Model  Transfer functions for nodes B and C are

KMV - ASIC9916 Noise Analysis For L Model  L model voltage function for ramp input at victim node C (T S is slew time)  L model peak noise expression for step input reduces to Vittal et. Al. peak noise expression

KMV - ASIC9917 Peak Noise For L Model  Differentiate v c (t) to get t peak  L Model peak noise at t peak

KMV - ASIC9918 Lumped  - Model C B V s1 V s2 R d2 C1C1 C c1 D A R1R1 R’1R’1 C L1 Aggressor Line Victim Line R d1 C c2 C’1C’1 C’2C’2 C2C2 C L2

KMV - ASIC9919 Peak Noise For  Model  V peak is given at v c ( t peak ) where

KMV - ASIC9920 Delay Uncertainty  Maximum difference between maximum and minimum delay  Caused by crosstalk between victim and aggressor switching simultaneously  Maximum delay by worst case –Aggressor and victim switching in opposite directions  Minimum delay by best case –Aggressor and victim switching in same direction

KMV - ASIC9921 Time  General Case –both victim ramp (T S2 ) and aggressor ramp (T S2 ) and four regimes of operation Simultaneous Switching of Victim & Aggressor  Our Case: first region is empty 0 V0V0 0 V0V0 T s1 V s2 T s2 V s1

KMV - ASIC9922 Delay Uncertainty  Our delay uncertainty study based on  Model  Corresponding voltage function at node C

KMV - ASIC9923 Delay Function  Delay Function at node C

KMV - ASIC9924 Outline of Talk  Signal Integrity issues  Previous works  Our Contributions –Circuits Models –Delay and Noise Equations  Simulation results  Conclusions

KMV - ASIC9925 Simulation Results  Simulation configuration –0.25 micron technology –analyzing different metal layer wires –analyze different factors like slew, coupling cap, etc.  Peak noise results w.r.t. slew  Best and worst delay result  Delay uncertainty w.r.t. aggressor slew and coupling

KMV - ASIC9926 Simulation Configuration  Criteria –global wires (case 2 and 3) and local wires (case 1 and 4) –different coupling to ground capacitance ratios

KMV - ASIC9927 Peak Noise Results  Peak noise for different models  Comparison with previous work ( Vittal et. Al. and Kawaguchi et. Al. )  Our results considered different slew times at aggressor

KMV - ASIC9928 Peak Noise Results  Peak noise for different models  Comparison with previous work ( VittalM97 and Kawaguchi-Sakurai )  Our results considered different slew times at aggressor

KMV - ASIC9929 Peak Noise Variation For Local Wires  Peak noise variation with respect to slew of aggressor for local wire case 1

KMV - ASIC9930 Peak Noise Variation For Global Wires  Peak noise variation with respect to slew of aggressor for global wire case 3

KMV - ASIC9931 Victim Delay Results (Best/Worst Case)  Worst case delay values using 50% threshold delay  Aggressor and victim switching in opposite directions  Same slew time on victim and aggressor  Case 1 and 4 - local  Case 2 and 3 - global

KMV - ASIC9932 Victim Delay Uncertainty With Slew Times  Delay uncertainty constant with same slew time on victim and aggressor  accuracy within 15% of spice

KMV - ASIC9933 Victim Delay Variation W.R.T. Coupling  Best and worst case delays variation with coupling capacitance variation  Same slew time on victim and aggressor  Case 1 is local interconnect and case 2 is global interconnect

KMV - ASIC9934 Victim Delay Variation With Aggressor Slew  Impact of aggressor slew on delay  Victim slew constant at 400 ps  15% accuracy w.r.t. spice  Local interconnect (case1) delay highly sensitive to slew time

KMV - ASIC9935 Victim Delay Variation With Aggressor Slew  Impact of aggressor slew on delay  Victim slew constant at 400 ps  15% accuracy w.r.t. spice  Local interconnect (case1) delay highly sensitive to slew time

KMV - ASIC9936 Conclusions  Provide simple, fast and accurate analytical expressions for peak noise and delay estimates  Consider all R and C and all slew times  Provide noise awareness methodology possibility earlier in design phase  Easy extensions –multiple aggressor lines –slew offsets