Built-In Self-Test of Programmable I/O Cells in Virtex-4 FPGAs Bradley F. Dutton, Lee W. Lerner, and Charles E. Stroud Dept. of Electrical & Computer Engineering.

Slides:



Advertisements
Similar presentations
An Integrated Reduction Technique for a Double Precision Accumulator Krishna Nagar, Yan Zhang, Jason Bakos Dept. of Computer Science and Engineering University.
Advertisements

Lecture 15 Finite State Machine Implementation
Advertisement In this work we presents novel and efficient methods for on- line CLB testing in FPGA’s. We use a ROving Tester (ROTE) which unlike any prior.
Reconfigurable Computing (EN2911X, Fall07) Lecture 04: Programmable Logic Technology (2/3) Prof. Sherief Reda Division of Engineering, Brown University.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 261 Lecture 26 Logic BIST Architectures n Motivation n Built-in Logic Block Observer (BILBO) n Test.
Dr A Sahu Dept of Computer Science & Engineering IIT Guwahati.
Built-In Self-Test for Field Programmable Gate Arrays funded by National Security Agency Chuck Stroud Electrical & Computer Engineering Auburn University.
Graduate Computer Architecture I Lecture 15: Intro to Reconfigurable Devices.
PLD Technology Basics. Basic PAL Architecture DQ Q CLK OE Fuse.
Copyright 2005, Agrawal & BushnellVLSI Test: Lecture 21alt1 Lecture 21alt BIST -- Built-In Self-Test (Alternative to Lectures 25, 26 and 27) n Definition.
B UILT -I N S ELF -T EST OF G LOBAL R OUTING R ESOURCES IN V IRTEX -4 FPGA S Jia Yao, Bobby Dixon, Charles Stroud and Victor Nelson Dept. of Electrical.
BIST for Logic and Memory Resources in Virtex-4 FPGAs Sachin Dhingra, Daniel Milton, and Charles Stroud Electrical and Computer Engineering Auburn University.
Zheming CSCE715.  A wireless sensor network (WSN) ◦ Spatially distributed sensors to monitor physical or environmental conditions, and to cooperatively.
Design for Testability Theory and Practice Lecture 11: BIST
Built-In Self-Test for Multipliers Mary Pulukuri Dept. of Electrical & Computer Engineering Auburn University.
Registers  Flip-flops are available in a variety of configurations. A simple one with two independent D flip-flops with clear and preset signals is illustrated.
Build-In Self-Test of FPGA Interconnect Delay Faults Laboratory for Reliable Computing (LaRC) Electrical Engineering Department National Tsing Hua University.
Programmable logic and FPGA
Multiplexers, Decoders, and Programmable Logic Devices
February 4, 2002 John Wawrzynek
Multivalued Logic for Reduced Pin Count and Multi-Site SoC Testing Baohu Li and Vishwani D. Agrawal Auburn University, ECE Dept., Auburn, AL 36849, USA.
CMPUT Computer Organization and Architecture II1 CMPUT329 - Fall 2003 Topic: Internal Organization of an FPGA José Nelson Amaral.
Implementation of DSP Algorithm on SoC. Mid-Semester Presentation Student : Einat Tevel Supervisor : Isaschar Walter Accompaning engineer : Emilia Burlak.
ENGIN112 L26: Shift Registers November 3, 2003 ENGIN 112 Intro to Electrical and Computer Engineering Lecture 26 Shift Registers.
Technion Digital Lab Project Performance evaluation of Virtex-II-Pro embedded solution of Xilinx Students: Tsimerman Igor Firdman Leonid Firdman.
Uli Schäfer 1 FPGAs for high performance – high density applications Intro Requirements of future trigger systems Features of recent FPGA families 9U *
By Praveen Venkataramani Vishwani D. Agrawal TEST PROGRAMMING FOR POWER CONSTRAINED DEVICES 5/9/201322ND IEEE NORTH ATLANTIC TEST WORKSHOP 1.
PIC microcontrollers. PIC microcontrollers come in a wide range of packages from small chips with only 8 pins and 512 words of memory all the way up to.
Introduction to Computing: Lecture 4
Registers CPE 49 RMUTI KOTAT.
AT94 Training 2001Slide 1 AT94K Configuration Modes Atmel Corporation 2325 Orchard Parkway San Jose, CA Hotline (408) OR.
System Arch 2008 (Fire Tom Wada) /10/9 Field Programmable Gate Array.
P. 4.1 Digital Technology and Computer Fundamentals Chapter 4 Digital Components.
CPLD (Complex Programmable Logic Device)
Fault models Stuck-at Stuck-at-1 Reset coupling 0 0 Set coupling Inversion coupling Transition  /0 0 1 Transition  /1 1.
J. Christiansen, CERN - EP/MIC
The Xilinx Spartan 3 FPGA EGRE 631 2/2/09. Basic types of FPGA’s One time programmable Reprogrammable (non-volatile) –Retains program when powered down.
Department of Communication Engineering, NCTU 1 Unit 5 Programmable Logic and Storage Devices – RAMs and FPGAs.
FPGA (Field Programmable Gate Array): CLBs, Slices, and LUTs Each configurable logic block (CLB) in Spartan-6 FPGAs consists of two slices, arranged side-by-side.
EKT 221/4 DIGITAL ELECTRONICS II  Registers, Micro-operations and Implementations - Part3.
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS
SEQUENTIAL CIRCUITS Component Design and Use. Register with Parallel Load  Register: Group of Flip-Flops  Ex: D Flip-Flops  Holds a Word of Data 
Field Programmable Gate Arrays (FPGAs) An Enabling Technology.
© 2003 Xilinx, Inc. All Rights Reserved Synchronous Design Techniques.
Basic Sequential Components CT101 – Computing Systems Organization.
ENG241 Digital Design Week #8 Registers and Counters.
ECE 448 Lecture 6 FPGA devices
Registers Page 1. Page 2 What is a Register?  A Register is a collection of flip-flops with some common function or characteristic  Control signals.
This material exempt per Department of Commerce license exception TSU Synchronous Design Techniques.
ESS | FPGA for Dummies | | Maurizio Donna FPGA for Dummies Basic FPGA architecture.
Mixed-Mode BIST Based on Column Matching Petr Fišer.
Digital System Design using VHDL
Cpe 252: Computer Organization1 Lo’ai Tawalbeh Lecture #3 Flip-Flops, Registers, Shift registers, Counters, Memory 3/3/2005.
Counters and registers Eng.Maha Alqubali. Registers Registers are groups of flip-flops, where each flip- flop is capable of storing one bit of information.
Digital Logic & Design Dr.Waseem Ikram Lecture 44.
TITLE : types of BIST MODULE 5.1 BIST basics
1 Modeling Synchronous Logic Circuits Debdeep Mukhopadhyay Associate Professor Dept of Computer Science and Engineering NYU Shanghai and IIT Kharagpur.
July 10, th VLSI Design and Test Symposium1 BIST / Test-Decompressor Design using Combinational Test Spectrum Nitin Yogi Vishwani D. Agrawal Auburn.
Sequential Logic Design
Registers and Counters
Prof. Hsien-Hsin Sean Lee
Maintaining Data Integrity in Programmable Logic in Atmospheric Environments through Error Detection Joel Seely Technical Marketing Manager Military &
Shift Registers.
The Xilinx Virtex Series FPGA
ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN
The Xilinx Virtex Series FPGA
Digital Logic Department of CNET Chapter-6
Digital Logic Department of CNET Chapter-6
Lecture 26 Logic BIST Architectures
FPGA’s 9/22/08.
Presentation transcript:

Built-In Self-Test of Programmable I/O Cells in Virtex-4 FPGAs Bradley F. Dutton, Lee W. Lerner, and Charles E. Stroud Dept. of Electrical & Computer Engineering Auburn University

B. Dutton 5/15/08North Atlantic Test Workshop2 Outline of Presentation  Previous Work  Overview of Virtex-4 I/O Tiles  Virtex-4 I/O BIST architecture  ILOGIC/OLOGIC (input/output logic)  SERDES (serialization/deserialization)  I/O Standards  Capabilities and Limitations  Conclusion

B. Dutton 5/15/08North Atlantic Test Workshop3 Previous Work in I/O BIST  I/O BIST for Atmel AT94K (NATW’06)  Proposed BIST architecture for 100% stuck-at fault  Configure bi-directional Cell Under Test (CUT)  23 BIST configurations to test all modes of operation  AT94K I/O Cells are simple compared to Virtex-4  2 Flip-Flops, 4 Multiplexors, 3 I/O standards =TPG =ORA I/O Cells Under Test

B. Dutton 5/15/08North Atlantic Test Workshop4 Virtex-4 I/O Tiles  Two I/O Cells form I/O Tile  Dedicated shift routing for SERDES data width expansion  Routing to support complementary differential I/O standards  All I/O Cells are identical  10 Flip-Flops  32 Multiplexors  69 I/O Standards More complicated than Atmel More complicated than Atmel Input/Output Buffer (I/O Buffer) Input/Output Buffer (I/O Buffer) To/from Device Resources Input Logic (ILOGIC) Output Logic (OLOGIC) From Device Resources To/From Device Resources Input Logic (ILOGIC) Output Logic (OLOGIC) From Device Resources I/O Cell BSCAN EXTEST Access

B. Dutton 5/15/08North Atlantic Test Workshop5 Virtex-4 I/O BIST Architecture  DSPs configured as counters to address 18 Kbit Block RAMs  Block RAMs store deterministic and pseudorandom test patterns  Greater controllability of test patterns  Multiple TPGs address alternating rows of BUTs  Bidirectional I/O buffers under test (BUTs) =ORA =TPG =BUT

B. Dutton 5/15/08North Atlantic Test Workshop6 Virtex-4 I/O BIST Architecture =ORA =TPG =BUT DSP BRAMs

B. Dutton 5/15/08North Atlantic Test Workshop7 ILOGIC/OLOGIC Fault Coverage  Can only test bi-directional buffer modes  Two undetected faults result

B. Dutton 5/15/08North Atlantic Test Workshop8 SERDES Overview O Q1 Q2 Q3 Q4 Q5 Q6 ISERDES D D1 D2 D3 D4 D5 D6 OSERDES Q T1 T2 T3 T4 T CLK CLKDIV OCE REV SHIFTIN1 SHIFTIN2 SR TCE SHIFTOUT1 SHIFTOUT2 SHIFTIN1 SHIFTIN2 SHIFTOUT1 SHIFTOUT2 CLK CLKDIV BITSLIP CE1 CE2 DLYCE DLYINC DLYRST SR OCLK REV TO FPGA INTERNAL RESOURCES FROM FPGA INTERNAL RESOURCES Input Buffer Output Buffer PAD I/O Buffer FROM FPGA INTERNAL RESOURCES TO OTHER ISERDES IN I/O TILE TO OTHER OSERDES IN I/O TILE OSERDES: parallel to serial conversion ISERDES: serial to parallel conversion

B. Dutton 5/15/08North Atlantic Test Workshop9 SERDES BIST Configurations  SERDES requires more TPG and ORA lines  Solution: Block RAMs configured as 512x36bit Reduces total test vector count to 512 Reduces total test vector count to 512  7 outputs per ISERDES require 7 ORAs  Data serialization/deserialization requires high speed clock  Solution: instantiate clock divide circuitry and use the divided clock for TPGs and ORAs  Amount of clock division depends on the data width  Deserialized data must be identically aligned on ISERDES parallel outputs  Solution: add a training pattern to vector set and a Bitslip synchronizer circuit

B. Dutton 5/15/08North Atlantic Test Workshop10 SERDES BIST Configurations  Bitslip operation reorders deserialized data on inputs  Bitslip synchronizer circuit aligns deserialized data prior to BIST  Synchronizer EN line enables/disables circuit D Q CLR D Q D Q X Y Z To ISERDES ISERDES Q2 CLKDIV Synchronizer Enable from TPG TPG Bitslip Clock Cycle Init ISERDES Q X FF X Y FF X Z FF BITSLIP

B. Dutton 5/15/08North Atlantic Test Workshop11 I/O Standards  I/O Standards are tested with ILOGIC/OLOGIC architecture  69 I/O standards, 9 are not bidirectional  Four classes of I/O standards  Single ended with Vref require an external reference voltage supplied to one I/O buffer per 16 I/O  DCI requires two external reference resistors in each I/O bank (64 I/O)  Complementary differential requires some modification of the template architecture SourceLinesDestination a) single ended Input Buffer Output Buffer c) complementary differential (requires two I/O cells) Differential Input Buffer Output Buffer i Output Buffer j d) digitally controlled impedance (DCI) (single or split termination at source, destination, or both) V REF Differential Input Buffer Output Buffer b) single ended requiring V REF (1 V REF per 16 I/O buffers) Input Buffer z R Output Buffer R R V CCO R

B. Dutton 5/15/08North Atlantic Test Workshop12 BIST Configuration Summary I/OBISTArchitecture # of BISTConfigurations Total BIST Clock Cycles Clock Cycles(constant) Total Download Size (Kbits)* Size (Kbits)* (varies w/ size) ILOGIC/OLOGIC881924,034 SERDES819,12410,384 I/O Standards ,828 TOTAL7827,81220,246 * Configuration file size for SX35 using compressed configuration files and partial reconfiguration files  I/O Standards configurations  Less than 30% of configuration bits  Less than 2% of BIST clock cycles  78 configurations, but smaller than 6 full downloads  Total test time = 405 msec for SX35

B. Dutton 5/15/08North Atlantic Test Workshop13 Virtex-4 I/O BIST Configuraiton Generation Programs  Three XDL template file generation programs  V4iobist – ILOGIC, OLOGIC, I/O buffer logic resources  V4iobistios – SERDES logic resources  V4iobistd – complementary differential I/O standards  Three XDL modification programs  V4iobmod - ILOGIC, OLOGIC, I/O buffer logic resources  V4iobmodios – SERDES logic resources  V4iobrmod – I/O standards

B. Dutton 5/15/08North Atlantic Test Workshop14 BIST Configuration Generation Process BIST Programs BitGen.exe BIT file XDL file NCD file XDL.exe download FPGA Editor verification on FPGA  XDL: Xilinx Description Language  Can generate configurations for every Virtex-4 device in any package  FX12 not currently supported due to only 1 column of BRAMs in Power PC rows

B. Dutton 5/15/08North Atlantic Test Workshop15 All I/O Buffers Under Test in Virtex-4 FX20 as viewed in Xilinx FPGA Editor BRAMs for TPGs I/O tiles under test and corresponding ORAs DSPs for TPGs

B. Dutton 5/15/08North Atlantic Test Workshop16 Summary  3 architectures in 78 configurations to test I/O cells in every mode of operation  ILOGIC/OLOGIC  ISERDES/OSERDES  I/O Standards  Tests both bonded and unbonded I/O cells  Can be used for manufacturing or system level testing  Connecting devices should be tri-stated  Allows for testing at system frequencies