Gate Sizing by Mathematical Programming Prof. Shiyan Hu

Slides:



Advertisements
Similar presentations
Convex Programming Brookes Vision Reading Group. Huh? What is convex ??? What is programming ??? What is convex programming ???
Advertisements

Gate Sizing for Cell Library Based Designs Shiyan Hu*, Mahesh Ketkar**, Jiang Hu* *Dept of ECE, Texas A&M University **Intel Corporation.
Gregory Shklover, Ben Emanuel Intel Corporation MATAM, Haifa 31015, Israel Simultaneous Clock and Data Gate Sizing Algorithm with Common Global Objective.
Advanced Interconnect Optimizations. Buffers Improve Slack RAT = 300 Delay = 350 Slack = -50 RAT = 700 Delay = 600 Slack = 100 RAT = 300 Delay = 250 Slack.
EE141 © Digital Integrated Circuits 2nd Wires 1 The Wires Dr. Shiyan Hu Office: EERC 731 Adapted and modified from Digital Integrated Circuits: A Design.
Approximation Algorithms Chapter 14: Rounding Applied to Set Cover.
4/22/ Clock Network Synthesis Prof. Shiyan Hu Office: EREC 731.
ELEN 468 Lecture 261 ELEN 468 Advanced Logic Design Lecture 26 Interconnect Timing Optimization.
1 Modeling and Optimization of VLSI Interconnect Lecture 9: Multi-net optimization Avinoam Kolodny Konstantin Moiseev.
UCLA DAC Tutorial 1997 EE 201A (Starting 2005, called EE 201B) Modeling and Optimization for VLSI Layout Instructor: Lei He
Variability-Driven Formulation for Simultaneous Gate Sizing and Post-Silicon Tunability Allocation Vishal Khandelwal and Ankur Srivastava Department of.
Minimum Dynamic Power CMOS Circuit Design by a Reduced Constraint Set Linear Program Tezaswi Raja Vishwani Agrawal Michael L. Bushnell Rutgers University,
NTHU-CS 1 Performance-Optimal Clustering with Retiming for Sequential Circuits Tzu-Chieh Tien and Youn-Long Lin Department of Computer Science National.
Circuit Retiming with Interconnect Delay CUHK CSE CAD Group Meeting One Evangeline Young Aug 19, 2003.
38 th Design Automation Conference, Las Vegas, June 19, 2001 Creating and Exploiting Flexibility in Steiner Trees Elaheh Bozorgzadeh, Ryan Kastner, Majid.
Interconnect Optimization for Deep-Submicron and Giga-Hertz ICs Lei He UCLA Computer Science Department Los Angeles, CA.
Introduction to Linear and Integer Programming Lecture 7: Feb 1.
Interconnect Optimizations. A scaling primer Ideal process scaling: –Device geometries shrink by  = 0.7x) Device delay shrinks by  –Wire geometries.
Energy-Efficient Rate Scheduling in Wireless Links A Geometric Approach Yashar Ganjali High Performance Networking Group Stanford University
04/09/02EECS 3121 Lecture 25: Interconnect Modeling EECS 312 Reading: 8.3 (text), 4.3.2, (2 nd edition)
Interconnect Optimizations
Global Routing Prof. Shiyan Hu Office: EERC 731.
Retiming with Interconnect and Gate Delay CUHK CSE CAD Group Dennis Tong 29 th Sept., 2003.
Prof. John Nestor ECE Department Lafayette College Easton, Pennsylvania ECE VLSI Circuit Design Lecture 10 - Combinational.
High-Speed Circuit-Tuning Techniques Based on Lagrangian Relaxation Charlie Chung-Ping Chen (608)
1 Retiming Outline: ProblemProblem FormulationFormulation Retiming algorithmRetiming algorithm.
ELEN 468 Lecture 271 ELEN 468 Advanced Logic Design Lecture 27 Interconnect Timing Optimization II.
Statistical Gate Delay Calculation with Crosstalk Alignment Consideration Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego
ECE Synthesis & Verification 1 ECE 667 ECE 667 Synthesis and Verification of Digital Systems Retiming.
SLIP 2000April 9, Wiring Layer Assignments with Consistent Stage Delays Andrew B. Kahng (UCLA) Dirk Stroobandt (Ghent University) Supported.
Spring 08, Feb 26 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2008 Clock Skew Problem Vishwani D. Agrawal James J.
7/15/ VLSI Placement Prof. Shiyan Hu Office: EERC 731.
Computer Algorithms Mathematical Programming ECE 665 Professor Maciej Ciesielski By DFG.
8/15/ VLSI Physical Design Automation Prof. David Pan Office: ACES Lecture 8. Floorplanning (2)
Slideshow 15 Mathematics Mr Sasaki Room 307 BRACKET EXPANSION AND FACTORISATION.
Ramprasad Yelchuru, MIQP formulations for optimal controlled variables selection in Self Optimizing Control, 1/16 MIQP formulation for optimal controlled.
EGRE 427 Advanced Digital Design Figures from Application-Specific Integrated Circuits, Michael John Sebastian Smith, Addison Wesley, 1997 Chapter 7 Programmable.
Lecture 12 Review and Sample Exam Questions Professor Lei He EE 201A, Spring 2004
EE 5900 Advanced Algorithms for Robust VLSI CAD, Spring 2009 Static Timing Analysis and Gate Sizing.
10/11/ VLSI Physical Design Automation Prof. David Pan Office: ACES Placement (2)
Thermal-aware Steiner Routing for 3D Stacked ICs M. Pathak and S.K. Lim Georgia Institute of Technology ICCAD 07.
EE 201C Modeling of VLSI Circuits and Systems
Optimal digital circuit design Mohammad Sharifkhani.
ECO Timing Optimization Using Spare Cells Yen-Pin Chen, Jia-Wei Fang, and Yao-Wen Chang ICCAD2007, Pages ICCAD2007, Pages
Solving Quadratic Equations by Factoring MATH 018 Combined Algebra S. Rook.
Factoring and Solving Polynomial Equations Chapter 6.4.
1 ε -Optimal Minimum-Delay/Area Zero-Skew Clock Tree Wire-Sizing in Pseudo-Polynomial Time Jeng-Liang Tsai Tsung-Hao Chen Charlie Chung-Ping Chen (National.
UW-Madison Gate Sizing Based on Lagrangian Relaxation Yu-Min Lee Advisor: Charlie Chung-Ping Chen.
1 A Min-Cost Flow Based Detailed Router for FPGAs Seokjin Lee *, Yongseok Cheon *, D. F. Wong + * The University of Texas at Austin + University of Illinois.
Timing-Driven Routing for FPGAs Based on Lagrangian Relaxation
INTERCONNECT MODELING M.Arvind 2nd M.E Microelectronics
Routing Tree Construction with Buffer Insertion under Obstacle Constraints Ying Rao, Tianxiang Yang Fall 2002.
EE 4271 VLSI Design, Fall 2013 Static Timing Analysis and Gate Sizing Optimization.
High-Speed Circuit-Tuning Techniques Based on Lagrangian Relaxation Charlie Chung-Ping Chen ICCAD 99’ Embedded Tutorial Session 12A
D. AriflerCMPE 548 Fall CMPE 548 Routing and Congestion Control.
An O(bn 2 ) Time Algorithm for Optimal Buffer Insertion with b Buffer Types Authors: Zhuo Li and Weiping Shi Presenter: Sunil Khatri Department of Electrical.
Circuit Delay Performance Estimation Most digital designs have multiple signal paths and the slowest one of these paths is called the critical path Timing.
Retiming EECS 290A Sequential Logic Synthesis and Verification.
Solving Multi-Step Equations One Step at a Time !!!!!
An O(nm) Time Algorithm for Optimal Buffer Insertion of m Sink Nets Zhuo Li and Weiping Shi {zhuoli, Texas A&M University College Station,
Static Timing Analysis and Gate Sizing Optimization
Solver & Optimization Problems
Chapter 2 Interconnect Analysis Delay Modeling
Static Timing Analysis and Gate Sizing Optimization
EE5780 Advanced VLSI Computer-Aided Design
Prof. Shiyan Hu Gate Sizing Prof. Shiyan Hu
CS5321 Numerical Optimization
Algebra 1 Section 12.1.
Performance-Driven Interconnect Optimization Charlie Chung-Ping Chen
Chapter 3b Leakage Efficient Chip-Level Dual-Vdd Assignment with Time Slack Allocation for FPGA Power Reduction Prof. Lei He Electrical Engineering Department.
Presentation transcript:

Gate Sizing by Mathematical Programming Prof. Shiyan Hu

2 Outline Overview Posynomial formulation Quadratic programming Combinational Circuit

3 Delay due to Wire/Gate Sizing R and C are functions of wire length l i, wire width w i and gate size g i Wire length l i : R  l i, C  l i Wire width w i : R  1/w i, C  w i Buffer/gate size g i : R  1/g i, C  g i Elmore delay is a function of RC Delay   R i C j, which is either  l i *l j or  w i /w j or  g i /g j, or their combinations

4 Mathematical Programming Quadratic programming Posynomial (positive polynomial) where all coefficients c i  0. Posynomial geometric programming Convex quadratic programming and posynomial programming can be solved in polynomial time using interior point methods

5 Approaches For wire sizing Fix wire width, then both R and C increases linearly with wire length. Therefore Elmore delay is a quadratic function of wire length For gate/buffer sizing The driving resistance decreases with gate width and input capacitance increases with gate width. Therefore Elmore delay is a posynomial function of gate width For combinational circuit Path delay can be expressed in terms of wire/gate delay and linear constraints. Therefore delay is a posynomial program

6 Outline Overview Quadratic programming Wire sizing Buffered wire sizing Posynomial formulation Lagrangian relaxation

7 Wire and Buffer Models

8 Wire Sizing Wire length variables l 1, l 2, …, l k corresponding to pre-selected wire width h 1, h 2, …, h n, and l 1 +l 2 +…+l k =L Monotone property h 1 >h 2 >…>h n

9 Elmore Delay Let c i =c(h i ), then

10 Quadratic Programming Minimize Subject to

11 Convex QP Due to special properties of matrix , it is positive definite The quadratic program is convex Convex QP is solvable in polynomial time using interior point methods.

12 Buffer Insertion and Wire Sizing There are m buffers of fixed sizes but variable location Between each pair of buffers/driver, there are n wire segments

13 Quadratic Programming Block matrix is positive definite The QP is convex and solvable in polynomial time Try different buffer numbers and sizes to find the optimal buffer location and wire sizing Minimize Subject to

14 Outline Overview Posynomial formulation Quadratic programming Combinational Circuit

15 Combinatorial Circuit Model Gate size variables x 1, x 2, x 3, wire width variables x 4, x 5, …, x 11 DriversLoads x6x6 x 11 x4x4 x7x7 x9x9 x 10 x2x2 x3x3 x8x8 x5x5 x1x1

16 Path Delay Express path delay in terms of component delay Arrival time a i for component i Delay D i for component i Constant number of constraints for each component 1 a1a1 a2a2 a3a3 D3D3 a4a4 D4D4

17 Wire/Gate Sizing Power/area minimization under delay constraints: This is a typical posynomial geometric programming problem, and can be solved in polynomial time or by Lagrangian relaxation

18 Reference for Math Programming J. P. Fishburn and A. E. Dunlop, TILOS: A posynomial programming approach to transistor sizing, ICCAD 1985, pp N. Menezes, R. Baldick and L. T. Pileggi, A sequential quadratic programming approach to concurrent gate and wire sizing, DAC 1995, C. C. N. Chu and D. F. Wong, A quadratic programming approach to simultaneous buffer insertion/sizing and wire sizing, IEEE Trans. on CAD, June 1999, pp C.-P. Chen, C. C. N. Chu and D. F. Wong, Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation, IEEE Trans. On CAD, July 1999, C. C. N. Chu and D. F. Wong, An efficient and optimal algorithm for simultaneous buffer and wire sizing, IEEE Trans. on CAD, Sept. 1999, pp