Merging Synthesis With Layout For Soc Design -- Research Status Jinian Bian and Hongxi Xue Dept. Of Computer Science and Technology, Tsinghua University,

Slides:



Advertisements
Similar presentations
© 2004 Wayne Wolf Topics Task-level partitioning. Hardware/software partitioning.  Bus-based systems.
Advertisements

ECE 667 Synthesis and Verification of Digital Circuits
ECOE 560 Design Methodologies and Tools for Software/Hardware Systems Spring 2004 Serdar Taşıran.
ECE Synthesis & Verification - Lecture 2 1 ECE 667 Spring 2011 ECE 667 Spring 2011 Synthesis and Verification of Digital Circuits High-Level (Architectural)
Courtesy RK Brayton (UCB) and A Kuehlmann (Cadence) 1 Logic Synthesis Sequential Synthesis.
An Effective Floorplanning Algorithm in Mixed Mode Placement Integrated with Rectilinear- Shaped Optimization for Soft Blocks Changqi Yang, Xianlong Hong,
Xing Wei, Wai-Chung Tang, Yu-Liang Wu Department of Computer Science and Engineering The Chinese University of HongKong
3D-STAF: Scalable Temperature and Leakage Aware Floorplanning for Three-Dimensional Integrated Circuits Pingqiang Zhou, Yuchun Ma, Zhouyuan Li, Robert.
Reconfigurable Computing S. Reda, Brown University Reconfigurable Computing (EN2911X, Fall07) Lecture 10: RC Principles: Software (3/4) Prof. Sherief Reda.
Modern VLSI Design 3e: Chapter 10 Copyright  2002 Prentice Hall Adapted by Yunsi Fei ECE 300 Advanced VLSI Design Fall 2006 Lecture 24: CAD Systems &
High-Level Constructors and Estimators Majid Sarrafzadeh and Jason Cong Computer Science Department
FPGA Latency Optimization Using System-level Transformations and DFG Restructuring Daniel Gomez-Prado, Maciej Ciesielski, and Russell Tessier Department.
Congestion Driven Placement for VLSI Standard Cell Design Shawki Areibi and Zhen Yang School of Engineering, University of Guelph, Ontario, Canada December.
Multiobjective VLSI Cell Placement Using Distributed Simulated Evolution Algorithm Sadiq M. Sait, Mustafa I. Ali, Ali Zaidi.
The Design Process Outline Goal Reading Design Domain Design Flow
Layer Assignment Algorithm for RLC Crosstalk Minimization Bin Liu, Yici Cai, Qiang Zhou, Xianlong Hong Tsinghua University.
CS244-Introduction to Embedded Systems and Ubiquitous Computing Instructor: Eli Bozorgzadeh Computer Science Department UC Irvine Winter 2010.
Process Scheduling for Performance Estimation and Synthesis of Hardware/Software Systems Slide 1 Process Scheduling for Performance Estimation and Synthesis.
Center for Embedded Computer Systems University of California, Irvine Coordinated Coarse Grain and Fine Grain Optimizations.
Simulated-Annealing-Based Solution By Gonzalo Zea s Shih-Fu Liu s
Reconfigurable Computing (EN2911X, Fall07)
Data Partitioning for Reconfigurable Architectures with Distributed Block RAM Wenrui Gong Gang Wang Ryan Kastner Department of Electrical and Computer.
System Partitioning Kris Kuchcinski
Storage Assignment during High-level Synthesis for Configurable Architectures Wenrui Gong Gang Wang Ryan Kastner Department of Electrical and Computer.
Simulated Annealing 10/7/2005.
Automated Generation of Layout and Control for Quantum Circuits Mark Whitney, Nemanja Isailovic, Yatish Patel, John Kubiatowicz University of California,
1 Integrating Logic Retiming and Register Placement Tzu-Chieh Tien, Hsiao-Pin Su, Yu-Wen Tsay Yih-Chih Chou, and Youn-Long Lin Department of Computer Science.
Center for Embedded Computer Systems University of California, Irvine SPARK: A High-Level Synthesis Framework for Applying.
林永隆 (Youn-Long Lin) Department of Computer Science National Tsing Hua University High-Level Synthesis of VLSIs THEDA Tsing Hua Electronic Design Automation.
Center for Embedded Computer Systems University of California, Irvine and San Diego Loop Shifting and Compaction for the.
Layout-based Logic Decomposition for Timing Optimization Yun-Yin Lien* Youn-Long Lin Department of Computer Science, National Tsing Hua University, Hsin-Chu,
Combining High Level Synthesis and Floorplan Together EDA Lab, Tsinghua University Jinian Bian.
1 ENTITY test is port a: in bit; end ENTITY test; DRC LVS ERC Circuit Design Functional Design and Logic Design Physical Design Physical Verification and.
Register-Transfer (RT) Synthesis Greg Stitt ECE Department University of Florida.
CAD Techniques for IP-Based and System-On-Chip Designs Allen C.-H. Wu Department of Computer Science Tsing Hua University Hsinchu, Taiwan, R.O.C {
CAD for Physical Design of VLSI Circuits
Section 10: Advanced Topics 1 M. Balakrishnan Dept. of Comp. Sci. & Engg. I.I.T. Delhi.
High-Level Interconnect Architectures for FPGAs Nick Barrow-Williams.
HDL-Based Layout Synthesis Methodologies Allen C.-H. Wu Department of Computer Science Tsing Hua University Hsinchu, Taiwan, R.O.C {
A NEW ECO TECHNOLOGY FOR FUNCTIONAL CHANGES AND REMOVING TIMING VIOLATIONS Jui-Hung Hung, Yao-Kai Yeh,Yung-Sheng Tseng and Tsai-Ming Hsieh Dept. of Information.
Massachusetts Institute of Technology 1 L14 – Physical Design Spring 2007 Ajay Joshi.
Shantanu Dutt ECE Dept. UIC
L11: Lower Power High Level Synthesis(2) 성균관대학교 조 준 동 교수
HYPER: An Interactive Synthesis Environment for Real Time Applications Introduction to High Level Synthesis EE690 Presentation Sanjeev Gunawardena March.
CWRU EECS 317 EECS 317 Computer Design LECTURE 1: The VHDL Adder Instructor: Francis G. Wolff Case Western Reserve University.
CS244-Introduction to Embedded Systems and Ubiquitous Computing Instructor: Eli Bozorgzadeh Computer Science Department UC Irvine Winter 2010.
Test Architecture Design and Optimization for Three- Dimensional SoCs Li Jiang, Lin Huang and Qiang Xu CUhk Reliable Computing Laboratry Department of.
1 - CPRE 583 (Reconfigurable Computing): VHDL to FPGA: A Tool Flow Overview Iowa State University (Ames) CPRE 583 Reconfigurable Computing Lecture 5: 9/7/2011.
System-level power analysis and estimation September 20, 2006 Chong-Min Kyung.
DEVICES AND DESIGN : ASIC. DEFINITION Any IC other than a general purpose IC which contains the functionality of thousands of gates is usually called.
Routability-driven Floorplanning With Buffer Planning Chiu Wing Sham Evangeline F. Y. Young Department of Computer Science & Engineering The Chinese University.
FPGA CAD 10-MAR-2003.
Courtesy RK Brayton (UCB) and A Kuehlmann (Cadence) 1 Logic Synthesis Timing Optimization.
HIGH LEVEL SYNTHESIS WITH AREA CONSTRAINTS FOR FPGA DESIGNS: AN EVOLUTIONARY APPROACH Tesi di Laurea di: Christian Pilato Matr.n Relatore: Prof.
Architecture and algorithm for synthesizable embedded programmable logic core Noha Kafafi, Kimberly Bozman, Steven J. E. Wilton 2003 Field programmable.
ASIC/FPGA design flow. Design Flow Detailed Design Detailed Design Ideas Design Ideas Device Programming Device Programming Timing Simulation Timing Simulation.
Test complexity of TED operations Use canonical property of TED for - Software Verification - Algorithm Equivalence check - High Level Synthesis M ac iej.
EECE 320 L8: Combinational Logic design Principles 1Chehab, AUB, 2003 EECE 320 Digital Systems Design Lecture 8: Combinational Logic Design Principles.
Architecture and Synthesis for Multi-Cycle Communication
Timing Optimization Andreas Kuehlmann
Sheqin Dong, Song Chen, Xianlong Hong EDA Lab., Tsinghua Univ. Beijing
Performance Optimization Global Routing with RLC Crosstalk Constraints
COE 561 Digital System Design & Synthesis Introduction
HIGH LEVEL SYNTHESIS.
EDA Lab., Tsinghua University
Michele Santoro: Further Improvements in Interconnect-Driven High-Level Synthesis of DFGs Using 2-Level Graph Isomorphism Michele.
Fast Min-Register Retiming Through Binary Max-Flow
A. Stammermann, D. Helms, M. Schulte OFFIS Research Institute
Reconfigurable Computing (EN2911X, Fall07)
Reconfigurable Computing (EN2911X, Fall07)
Presentation transcript:

Merging Synthesis With Layout For Soc Design -- Research Status Jinian Bian and Hongxi Xue Dept. Of Computer Science and Technology, Tsinghua University, Beijing

Contents u The progress status of our work. u Delay-driven algorithm for logic re-synthesis after placement u Interconnect driven high-level synthesis. – Data path synthesis – Control synthesis

Progress Status of Our Work u System specification – IIR into HDM Internal Intermediate Representation – C to VHDL : – HDM (IIR) to CDFG FFT.vhdPackageFFT.c

Progress Status of Our Work u Interconnect synthesis – Delay-driven post-layout re-synthesis – Interconnect driven high-level synthesis » Data path synthesis combining with floor-planning » Delay driven control synthesis

Progress Status of Our Work u HW/SW partitioning – Partition modeling – Partition algorithm » Simulated annealing algorithm » Tabu algorithm » Search space smoothing algorithm – Partition system

Interconnect Driven Synthesis u Background – Interconnect wires play the dominating role for circuit performance and area instead of function units.

Interconnect Driven Synthesis

Traditional Flow

Our Approach Hardware Spec. High-Level Synthesis Floor-planning RT-Level Synthesis Logic Synthesis Global Placement Re-Synthesis Incremental PlacementDetail Placement Routing

Delay-driven Post-layout Re-synthesis

Re-synthesis Logic Synthesis Placement Detail Placement and Routing Re-Synthesis + Incremental Placement

Our System Flow

Delay Calculation u Using the method in the placement u When get a new gate, allocate it to an ideal position

Buffer Insertion A (a) Before buffer insertion B C BC A (b) After buffer insertion

Gate Resizing gate_resize() foreach gate g in the circuit{ if (g is non-critical) continue; if (g’s better alternative gate n not exist) continue; replace g with n; re-calculate the delay of the circuit; if (delay is not reduced) recover g; }

Alternative Wire a c b a c b

Local Logic Substitution u Uses the model mapping method to search for the local alternative circuit

Local Logic Substitution a b c d a b c d

u The critical path may be shorten, – eg: if the wires marked red are critical path, in the alternative circuit, the path is shorten, but the non-critical path (follows input c) is lengthen a b c d a b c d

Experimental Results

Result Graph

Conclusion u Our system begins with the circuit after the initial placement and performs local re-synthesis to reduce the delay. u A final netlist and placement are then generated after the incremental placement. u The result shows the system is a fine combination of synthesis and physical design. The future work may be replacing the greedy algorithm with the heuristic algorithm.

Interconnect Driven High-level Synthesis

Behavior Description Entity example is Port( a,b,cin: in bit; S,cout: out bin); End example; Architecture behavior of example is Begin If a=‘1’ and b=‘1’ and cin=‘1’ thens <= ‘1’; Elsif …… …… End;

BEHAVIOR DESCRIPTION VHDL Behavior Synthesis Data Path Controller CDFG layout HDM-IIR

Problems to Be Solved u How to get information of interconnection delay at higher level? u How to bind floor-planning with high-level synthesis together? u How to achieve an accurate result with limited time?

Our Approach CDFG & Restriction Estimate Steps & Resources Make Grids Make CBL Simulate Annealing Result Heuristic AlgorithmSSS Hardware Spec. From HW/SW

Representation of Scheduling and Binding Result Using a Two –Dimensional Table u

Get a New Solution by Changing the Placement of the Table u Select one operation randomly, changes its column. A B D C E A B C D E

u Select one operation randomly u According to the step range of the operation calculated by ASAP and ALAP algorithm, select a new row to place the operation randomly u Adjust the rows of the operations that violate the precedence constraints, finally, decide the columns of these operations. A B D C E A B D C E

Corner Block List We use CBL(Corner Block List) to show the result of floorplan. CBL is based-on non-slicing floorplan Example: Seq=( ) L=(010011) T=( ) Example: Seq=( ) L=(010011) T=( )

Corner Block List u The most important thing is – Any (S,L,T) is validate!!! u We can get new floorplan-solution by changing the (S,L,T) group.

Controller Synthesis CDFGData Path FSM State Simplification State Assigned placement

State Assigned u Various-length state assigned algorithm e.g. 10 states: 4-10 bits, The optimal solution: How many bits? How to encode?

Conclusions By binding and floor-planning into a single phase: u We can obtain more accurate information of interconnections in high-level synthesis. u The floor-planning can benefit from the information of scheduling and binding u There are still much work to be done on how to use the information to avoid randomness of the simulated annealing approach.

The Future Work u Combine HLS with the result of HW/SW. u Use different algorithms instead of simulated annealing algorithm. – Heuristic algorithms – Search space smoothing – Using re-timing technique

Thank You !!!