Development System using Altium Designer Supervisor : Ina Rivkin Performed by: Fared Ghanayim Jihad Zahdeh Technion – Israel Institute of Technology Department.

Slides:



Advertisements
Similar presentations
VHDL Lecture 1 Megan Peck EECS 443 Spring 08.
Advertisements

Introduction To VHDL for Combinational Logic
Dr. Subbarao Wunnava June 2006 “ Functional Microcontroller Design and Implementation ” Paper Authors : Vivekananda Jayaram Dr. Subbarao Wunnava Research.
1 Lecture 13 VHDL 3/16/09. 2 VHDL VHDL is a hardware description language. The behavior of a digital system can be described (specified) by writing a.
Quad 2-to-1 and Quad 4-to-1 Multiplexers Discussion D2.4 Example 7.
History TTL-logic PAL (Programmable Array Logic)
Arbitrary Waveform Discussion 5.5 Example 34.
1 VLSI DESIGN USING VHDL Part II A workshop by Dr. Junaid Ahmed Zubairi.
Ring Counter Discussion D5.3 Example 32. Ring Counter if rising_edge(CLK) then for i in 0 to 2 loop s(i)
Generic Multiplexers: Parameters Discussion D2.5 Example 8.
2-to-1 Multiplexer: if Statement Discussion D2.1 Example 4.
Introduction to VHDL VHDL Tutorial R. E. Haskell and D. M. Hanna T1: Combinational Logic Circuits.
6/12/20151 Sequence Detectors Lecture Notes – Lab 4 Sequence detection is the act of recognizing a predefined series of inputs A sequence detector is a.
Performed by: Farid Ghanayem & Jihad Zahdeh Instructor: Ina Rivkin המעבדה למערכות ספרתיות מהירות High speed digital systems laboratory הטכניון - מכון טכנולוגי.
Characterization Presentation Spring 2006 Implementation of generic interface To electronic components via USB2 Connection Supervisor Daniel Alkalay System.
FPGAs and VHDL Lecture L12.1. FPGAs and VHDL Field Programmable Gate Arrays (FPGAs) VHDL –2 x 1 MUX –4 x 1 MUX –An Adder –Binary-to-BCD Converter –A Register.
Structural VHDL VHDL Tutorial R. E. Haskell and D. M. Hanna T3: ALU Design.
Introduction to VHDL Multiplexers. Introduction to VHDL VHDL is an acronym for VHSIC (Very High Speed Integrated Circuit) Hardware Description Language.
Introduction to VHDL CSCE 496/896: Embedded Systems Witawas Srisa-an.
הטכניון - מכון טכנולוגי לישראל הפקולטה להנדסת חשמל Technion - Israel institute of technology department of Electrical Engineering Virtex II-PRO Dynamical.
4-to-1 Multiplexer: case Statement Discussion D2.3 Example 6.
Implement UART core on FPGA The High Speed Digital Systems Laboratory Electrical Engineering Faculty, Technion By: Marganit Fina Supervisor: Rivkin Ina.
Technion – Israel Institute of Technology Department of Electrical Engineering High Speed Digital Systems Lab Project performed by: Naor Huri Idan Shmuel.
Technion Digital Lab Project Xilinx ML310 board based on VirtexII-PRO programmable device Students: Tsimerman Igor Firdman Leonid Firdman Leonid.
Coincidence Detector Coincidence Detector Spring Semester 2006 Characterization Presentation Presenting: Roee Bar & Gabi Klein Instructor:Ina Rivkin Technion.
CSE140L – Lab4 Overall picture of Lab4 Tutorial on Bus & Memory Tutorial on Truth table.
Introduction to VHDL Multiplexers Discussion D1.1.
1 Fast Communication for Multi – Core SOPC Technion – Israel Institute of Technology Department of Electrical Engineering High Speed Digital Systems Lab.
Lab 3 & 4 Discussion EE414/514 VHDL Design September 25.
Development System using Altium Designer Supervisor : Ina Rivkin Performed by: Fared Ghanayim Jihad Zahdeh Technion – Israel Institute of Technology Department.
1 Mid-term Presentation Implementation of generic interface To electronic components via USB2 Connection Supervisor Daniel Alkalay System architectures.
ECE 448: Spring 12 Lab 4 – Part 2 Finite State Machines Basys2 FPGA Board.
ECE 332 Digital Electronics and Logic Design Lab Lab 5 VHDL Design Styles Testbenches.
Designing with FPGAs ELEC 418 Advanced Digital Systems Dr. Ron Hayne Images Courtesy of Thomson Engineering.
System Arch 2008 (Fire Tom Wada) /10/9 Field Programmable Gate Array.
Chapter 14 Introduction to Microprocessors. 2 Microcomputer A self-contained computer system that consists of CPU (central processing unit), memory (RAM.
ENG2410 Digital Design LAB #8 LAB #8 Data Path Design.
ECE 448: Spring 11 Lab 3 Part 1 Sequential Logic for Synthesis.
ENG241 Digital Design Week #8 Registers and Counters.
Digital Systems Design VHDL simulation of a 3 – Bit Binary Decoder with Enable by Marc A. Mackey.
Introduction to VHDL Spring EENG 2920 Digital Systems Design Introduction VHDL – VHSIC (Very high speed integrated circuit) Hardware Description.
ECE 331 – Digital System Design Multiplexers and Demultiplexers (Lecture #13)
EE3A1 Computer Hardware and Digital Design Lecture 2 Introduction to VHDL.
Introduction to VHDL Simulation … Synthesis …. The digital design process… Initial specification Block diagram Final product Circuit equations Logic design.
Introduction to FPGA Tools
2’s Complement 4-Bit Saturator Discussion D2.8 Lab 2.
4-to-1 Multiplexer: Module Instantiation Discussion D2.2 Example 5.
Copyright (c) 2003 by Valery Sklyarov and Iouliia Skliarova: DETUA, IEETA, Aveiro University, Portugal.
CS/EE 3700 : Fundamentals of Digital System Design
VHDL ELEC 311 Digital Logic and Circuits Dr. Ron Hayne Images Courtesy of Cengage Learning.
Survey of Reconfigurable Logic Technologies
Registers and Counters Discussion D8.1. Logic Design Fundamentals - 3 Registers Counters Shift Registers.
1 Introduction to Engineering Spring 2007 Lecture 18: Digital Tools 2.
1 Introduction to Engineering Spring 2007 Lecture 19: Digital Tools 3.
LAB #5 Modular Design and Hierarchy using VHDL
Registers and Counters
Introduction to Programmable Logic
ENG6530 Reconfigurable Computing Systems
Field Programmable Gate Array
Field Programmable Gate Array
Field Programmable Gate Array
VHDL 1. ver.7a VHDL1 INTRODUCTION TO VHDL (VERY-HIGH-SPEED-INTEGRATED-CIRCUITS HARDWARE DESCRIPTION LANGUAGE) KH WONG (w2 begins) (Some pictures are.
VHDL Structural Architecture
VHDL Introduction.
Founded in Silicon Valley in 1984
ECE 331 – Digital System Design
Fast, Asynchronous SRAM
4-Input Gates VHDL for Loops
Digital Logic with VHDL
(Sequential-Circuit Building Blocks)
Presentation transcript:

Development System using Altium Designer Supervisor : Ina Rivkin Performed by: Fared Ghanayim Jihad Zahdeh Technion – Israel Institute of Technology Department of Electrical Engineering High Speed Digital Systems Lab Midterm Presentation One Semester Project “Spring 2007”

Agenda Project Goals. Project Goals. Design block Diagram. Design block Diagram. Schematic building Schematic building Schedule Schedule

Project Goals Building a design using Altium Designer Building a design using Altium Designer Knowing different features of Altium Designer Knowing different features of Altium Designer Building the Schematic Diagram Building the Schematic Diagram Using VHDL for implementing one of the schematic units and Simulation and Synthesis. Using VHDL for implementing one of the schematic units and Simulation and Synthesis. Embedded code. Embedded code. Signal Integrity. Signal Integrity.

Design Block Diagram

Schematic Building Creating a new FPGA Project

Major units we used in schematics and their Libraries Name in Library LibraryComponent TSK51A_D FPGA ProcessorsMicroprocessor SRAM_0 FPGA NanoBorad port plugin SRAM LCD FPGA NanoBorad port Plugin LCD DipSwitch Switches Soft Nexus-Chain Connector NEXUS_JTAG_CONNECTOR FPGA NanoBorad port Plugin Nexus Jtag port, connecter CLK_BRD FPGA NanoBorad port Plugin Clock LCD16X2A FPGA Peripherals LCD Controller (OR, And,..) FPGA Generic Logical units

Top Level Design Timing JTAG Processor LCD Controller LCD SRAM Memory Controller

SRAM Description OF SRAM PINS: Data I/O Enable Address Write Enable Output Enable Upper Bit Lower Bit

Top Level Design Diagram FPGA Microprocessor Block

CPU Diagram with internal Mem. Microprocessor ROM Input Output Ports Clock and Reset ports

Top Level Design Diagram Top Level Design Diagram Memory Controller Block

Memory Controller Implementation in VHDL library IEEE; use IEEE.Std_Logic_1164.all; entity Mem_cont is port ( sel : in std_logic; inp : in std_logic_vector(15 downto 0); outp : out std_logic_vector(15 downto 0); io : inout std_logic_vector(15 downto 0); IMEM : in std_logic_vector(7 downto 0); IADDR : in std_logic_vector(15 downto 0); OADDR : out std_logic_vector(18 downto 0); OCS : out std_logic; OUB : out std_logic; OLB : out std_logic ); end Mem_cont; architecture strc of Mem_cont is begin outp 'Z'); io 'Z'); OADDR(0) <= IADDR(0); OADDR(1) <= IADDR(1); OADDR(2) <= IADDR(2); OADDR(3) <= IADDR(3); OADDR(4) <= IADDR(4); OADDR(5) <= IADDR(5); OADDR(6) <= IADDR(6); OADDR(7) <= IADDR(7); OADDR(8) <= IADDR(8); OADDR(9) <= IADDR(9); OADDR(10) <= IADDR(10); OADDR(11) <= IADDR(11); OADDR(12) <= IADDR(12); OADDR(13) <= IADDR(13); OADDR(14) <= IADDR(14); OADDR(15) <= IADDR(15); OLB <= IMEM(0); OUB <= not IMEM(0); OCS <= '1'; OADDR(16) <= IMEM(1); OADDR(17) <= IMEM(2); OADDR(18) <= IMEM(3); end strc ;

Schedule 14/6 Presenting midterm Presentation. 14/6 Presenting midterm Presentation. 15/6 – 29/6 Embedded code and compilation 15/6 – 29/6 Embedded code and compilation 30/6 – 7/7 simulation and synthesis. 30/6 – 7/7 simulation and synthesis. 8/7 – 15/7 Signal Integrity. 8/7 – 15/7 Signal Integrity. 1/8 Final Presentation. 1/8 Final Presentation.