Presentation is loading. Please wait.

Presentation is loading. Please wait.

Arbitrary Waveform Discussion 5.5 Example 34.

Similar presentations


Presentation on theme: "Arbitrary Waveform Discussion 5.5 Example 34."— Presentation transcript:

1 Arbitrary Waveform Discussion 5.5 Example 34

2 Recall Divide-by-8 Counter
s s s s s s s s State q2 q1 q D2 D1 D0 Present state Next state Use q2, q1, q0 as inputs to a combinational circuit to produce an arbitrary waveform.

3 Example State q2 q1 q D2 D1 D y q2 q1 q0 00 01 11 10 1 s s s s s s s s y = ~q2 & ~q1 | q2 & q0

4 International Morse Code

5 Generating a Morse code A using a 3-bit divide-by-8 counter

6 K-map for finding A

7 -- Example 34: Morse code for A
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_unsigned.all; entity morsea is port( clr : in STD_LOGIC; clk : in STD_LOGIC; a : out STD_LOGIC ); end morsea; architecture morsea of morsea is signal q: STD_LOGIC_VECTOR(2 downto 0); begin -- 3-bit counter process(clk, clr) if clr = '1' then q <= "000"; elsif clk'event and clk = '1' then q <= q + 1; end if; end process; a <= (not q(1) and q(0)) or (q(2) and not q(0));

8 Aldec Active-HDL Simulation


Download ppt "Arbitrary Waveform Discussion 5.5 Example 34."

Similar presentations


Ads by Google