Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 61 Design for Testability Theory and Practice Lecture 6: Combinational ATPG n ATPG problem n Example.

Slides:



Advertisements
Similar presentations
CMP238: Projeto e Teste de Sistemas VLSI Marcelo Lubaszewski Aula 4 - Teste PPGC - UFRGS 2005/I.
Advertisements

Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 121 Lecture 12 Advanced Combinational ATPG Algorithms  FAN – Multiple Backtrace (1983)  TOPS – Dominators.
Based on text by S. Mourad "Priciples of Electronic Systems" Digital Testing: Design Representation and Fault Detection
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 13/12alt1 Lecture 13 Sequential Circuit ATPG Time-Frame Expansion (Lecture 12alt in the Alternative.
1 Lecture 10 Sequential Circuit ATPG Time-Frame Expansion n Problem of sequential circuit ATPG n Time-frame expansion n Nine-valued logic n ATPG implementation.
Copyright 2001, Agrawal & BushnellLecture 3b: Testability Analysis1 VLSI Testing Lecture 3b: Testability Analysis n Definition n Controllability and observability.
TOPIC : Backtracking Methodology UNIT 3 : VLSI Testing Module 3.2: Arriving at Input Test Vector.
Copyright 2001, Agrawal & BushnellDay-1 AM-3 Lecture 31 Testing Analog & Digital Products Lecture 3: Fault Modeling n Why model faults? n Some real defects.
Copyright 2005, Agrawal & BushnellVLSI Test: Lecture 21alt1 Lecture 21alt BIST -- Built-In Self-Test (Alternative to Lectures 25, 26 and 27) n Definition.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 71 Lecture 7 Fault Simulation n Problem and motivation n Fault simulation algorithms n Serial n Parallel.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 91 Lecture 9 Combinational Automatic Test-Pattern Generation (ATPG) Basics n Algorithms and representations.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 8alt1 Lecture 8 Testability Measures n Definition n Controllability and observability n SCOAP measures.
Copyright 2001, Agrawal & BushnellDay-1 AM Lecture 11 Design for Testability Theory and Practice January 15 – 17, 2005 Vishwani D. Agrawal James J. Danaher.
1 Lecture 11 Major Combinational Automatic Test-Pattern Generation Algorithms n Definitions n D-Algorithm (Roth) D-cubes Bridging faults Logic.
Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 4b1 Design for Testability Theory and Practice Lecture 4b: Fault Simulation n Problem and motivation.
Copyright 2001 Agrawal & BushnellHyderabad, July 27-29, 2006 (Day 2)1 Combinational ATPG n ATPG problem n Example n Algorithms Multi-valued algebra D-algorithm.
Algorithms and representations Structural vs. functional test
Copyright 2001, Agrawal & BushnellDay-1 PM-2 Lecture 51 Testing Analog & Digital Products Lecture 5: Testability Measures n Definition n Controllability.
Design for Testability Theory and Practice Lecture 11: BIST
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 9alt1 Lecture 9alt Combinational ATPG (A Shortened version of Original Lectures 9-12) n ATPG problem.
4/20/2006ELEC7250: Alexander 1 LOGIC SIMULATION AND FAULT DIAGNOSIS BY JINS DAVIS ALEXANDER ELEC 7250 PRESENTATION.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 11alt1 Lecture 11alt Advances in Combinational ATPG Algorithms  Branch and Bound Search  FAN – Multiple.
Lecture 6 Testability Measures
Lecture 5 Fault Simulation
1 Lecture 10 Redundancy Removal Using ATPG n Redundancy identification n Redundancy removal Original slides copyright by Mike Bushnell and Vishwani Agrawal.
Spring 08, Mar 27 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2008 Fault Simulation Vishwani D. Agrawal James J.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 81 Lecture 8 Testability Measures n Origins n Controllability and observability n SCOAP measures 
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 111 Lecture 11 Major Combinational Automatic Test-Pattern Generation Algorithms n Definitions n D-Algorithm.
Dominance Fault Collapsing of Combinational Circuits By Kalpesh Shetye & Kapil Gore ELEC 7250, Spring 2004.
Copyright 2001, Agrawal & BushnellDay-1 AM-1 Lecture 11 Testing Analog & Digital Products Dr. Vishwani D. Agrawal James J. Danaher Professor of Electrical.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 101 Lecture 10 Combinational ATPG and Logic Redundancy n Redundancy identification n Redundancy removal.
ELEN 468 Lecture 231 ELEN 468 Advanced Logic Design Lecture 23 Testing.
Spring 08, Apr 8 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2008 Combinational Circuit ATPG Vishwani D. Agrawal.
Introduction to IC Test
Unit II Test Generation
VLSI Testing Lecture 7: Combinational ATPG
ECE 260B – CSE 241A Testing 1http://vlsicad.ucsd.edu ECE260B – CSE241A Winter 2005 Testing Website:
Fault Models, Fault Simulation and Test Generation Vishwani D. Agrawal Department of ECE, Auburn University Auburn, AL 36849, USA
12/3/2015 Based on text by S. Mourad "Priciples of Electronic Systems" and M. L. Bushnell and V. D. Agrawal, Essentials of Electronic Testing for Digital,
Copyright 2001, Agrawal & BushnellLecture 6: Sequential ATPG1 VLSI Testing Lecture 6: Sequential ATPG n Problem of sequential circuit ATPG n Time-frame.
SOLUTION TO module 3.3. Feb.. 16, 2001VLSI Test: Bushnell-Agrawal/Lecture 112 Example 7.2 Fault A sa0 Step 1 – D-Drive – Set A = 1 D 1 D.
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Combinational ATPG.
A New ATPG Algorithm for 21 st Century: The wojoRithm John Sunwoo Electrical & Computer Engineering Auburn University, AL.
EE141 VLSI Test Principles and Architectures Test Generation 1 1 中科院研究生院课程: VLSI 测试与可测试性设计 第 5 讲 测试生成 (1) 李晓维 中科院计算技术研究所
Copyright 2001, Agrawal & BushnellLecture 6:Fault Simulation1 VLSI Testing Lecture 6: Fault Simulation Dr. Vishwani D. Agrawal James J. Danaher Professor.
Fault Models, Fault Simulation and Test Generation Vishwani D. Agrawal Department of ECE, Auburn University Auburn, AL 36849, USA
Fault Models, Fault Simulation and Test Generation Vishwani D. Agrawal Department of ECE, Auburn University Auburn, AL 36849, USA
Algorithms and representations Structural vs. functional test
VLSI Testing Lecture 4: Testability Analysis
VLSI Testing Lecture 6: Fault Simulation
Algorithms and representations Structural vs. functional test
Lecture 7 Fault Simulation
Definitions D-Algorithm (Roth) D-cubes Bridging faults
Lecture 13 Sequential Circuit ATPG Time-Frame Expansion
Definitions D-Algorithm (Roth) D-cubes Bridging faults
VLSI Testing Lecture 6: Fault Simulation
VLSI Testing Lecture 7: Combinational ATPG
Lecture 10 Sequential Circuit ATPG Time-Frame Expansion
ELEC Digital Logic Circuits Fall 2014 Logic Testing (Chapter 12)
CPE/EE 428, CPE 528 Testing Combinational Logic (5)
Automatic Test Generation for Combinational Circuits
VLSI Testing Lecture 8: Sequential ATPG
A New ATPG Algorithm for 21st Century: The wojoRithm
Fault Models, Fault Simulation and Test Generation
VLSI Testing Lecture 7: Combinational ATPG
Automatic Test Pattern Generation
VLSI Testing Lecture 4: Testability Analysis
ELEC Digital Logic Circuits Fall 2015 Logic Testing (Chapter 12)
Lecture 13 Sequential Circuit ATPG Time-Frame Expansion
Presentation transcript:

Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 61 Design for Testability Theory and Practice Lecture 6: Combinational ATPG n ATPG problem n Example n Algorithms Multi-valued algebra D-algorithm Podem Other algorithms n ATPG system n Summary

Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 62 ATPG Problem n ATPG: Automatic test pattern generation Given n A circuit (usually at gate-level) n A fault model (usually stuck-at type) Find n A set of input vectors to detect all modeled faults. n Core solution: Find a test vector for a given fault. n Combine the “core solution” with a fault simulator into an ATPG system.

Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 63 What is a Test? X100101XXX100101XX Stuck-at-0 fault 1/0 Fault activation Path sensitization Primary inputs (PI) Primary outputs (PO) Combinational circuit 1/0 Fault effect

Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 64 Multiple-Valued Algebras Symbol D 0 1 X G0 G1 F0 F1 Alternative Representation 1/0 0/1 0/0 1/1 X/X 0/X 1/X X/0 X/1 Faulty Circuit X 0 1 Fault-free circuit X 0 1 X Roth’s Algebra Muth’s Additions

Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 65 An ATPG Example 1 Fault activation 2 Path sensitization 3 Line justification 1 D

Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 66 ATPG Example (Cont.) 1 Fault activation 2 Path sensitization 3 Line justification 1 D D D D 1 0 1

Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 67 ATPG Example (Cont.) 1 Fault activation 2 Path sensitization 3 Line justification 1 D D D D Conflict 1

Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 68 ATPG Example (Cont.) 1 Fault activation 2 Path sensitization 3 Line justification 1 D D D D Backtrack D

Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 69 ATPG Example (Cont.) 1 Fault activation 2 Path sensitization 3 Line justification 1 D D D D D Test found 0 1

Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 610 D-Algorithm (Roth 1967) n Use D-algebra n Activate fault n Place a D or D at fault site n Justify all signals n Repeatedly propagate D-chain toward POs through a gate n Justify all signals n Backtrack if n A conflict occurs, or n All D-chains die n Stop when n D or D at a PO, i.e., test found, or n Search exhausted, no test possible

Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 611 Example: Fault A sa0 n Step 1 – Fault activation – Set A = 1 D 1 D D-frontier = {e, h}

Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 612 Example Continued D 1 0 D n Step 2 – D-Drive – Set f = 0 D

Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 613 Example Continued D 1 0 D n Step 3 – D-Drive – Set k = 1 D 1 D

Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 614 Example Continued D 1 0 D n Step 4 – Consistency – Set g = 1 D 1 D 1

Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 615 Example Continued D 1 0 D n Step 5 – Consistency – f = 0 Already set D 1 D 1

Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 616 Example Continued D 1 0 D n Step 6 – Consistency – Set c = 0, Set e = 0 D 1 D 1 0 0

Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 617 Example: Test Found D 1 0 X D n Step 7 – Consistency – Set B = 0 n Test: A = 1, B = 0, C = 0, D = X D 1 D

Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 618 Podem (Goel, 1981) n Podem: Path oriented decision making n Step 1: Define an objective (fault activation, D-drive, or line justification) n Step 2: Backtrace from site of objective to PIs (use testability measures guidance) to determine a value for a PI n Step 3: Simulate logic with new PI value n If objective not accomplished but is possible, then continue backtrace to another PI (step 2) n If objective accomplished and test not found, then define new objective (step 1) n If objective becomes impossible, try alternative backtrace (step 2) n Use X-PATH-CHECK to test whether D-frontier still there – a path of X’s from a D-frontier to a PO must exist.

Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 619 Podem Example (9, 2) S-a-1 1. Objective “0” 0 2. Backtrace “A=0” 3. Logic simulation for A=0 4. Objective possible but not accomplished

Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 620 Podem Example (Cont.) (9, 2) S-a-1 1. Objective “0” 0 5. Backtrace “B=0” 6. Logic simulation for A=0, B=0 7. Objective possible but not accomplished 0 0 0

Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 621 Podem Example (Cont.) (9, 2) S-a-1 1. Objective “0” 0 8. Backtrace “E=0” 9. Logic simulation for E=0 10. Objective possible but not accomplished

Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 622 Podem Example (Cont.) (9, 2) S-a-1 1. Objective “0” Backtrace “D=0” 12. Logic simulation for D=0 13. Objective accomplished

Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 623 An ATPG System Random pattern generator Fault simulator Fault coverage improved? Random patterns effective? Save patterns Deterministic ATPG (D-alg. or Podem) yes no yes no Stop if fault coverage goal achieved

Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 624 Summary n Most combinational ATPG algorithms use D-algebra. n D-Algorithm is a complete algorithm: n Finds a test, or n Determines the fault to be redundant n Complexity is exponential in circuit size n Podem is also a complete algorithm: n Works on primary inputs – search space is smaller than that of D-algorithm n Exponential complexity, but several orders faster than D- algorithm n More efficient algorithms available – FAN, Socrates, etc. n See, M. L. Bushnell and V. D. Agrawal, Essentials of Electronic Testing for Digital, Memory and Mixed-Signal VLSI Circuits, Springer, 2000, Chapter 7.

Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 625 Exercise 2: Lectures 4-6 n For the circuit shown above n Determine SCOAP testability measures. n Derive a test for the stuck-at-1 fault at the output of the AND gate. n Using the parallel fault simulation algorithm, determine which of the four primary input faults are detectable by the test derived above.

Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 626 Exercise 2: Answers (1,1) 4 (1,1) 3 (1,1) 4 (1,1) 3 (2,3) 2 (4,2) 0 ■ SCOAP testability measures, (CC0, CC1) CO, are shown below:

Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 627 Exercise 2: Answers Cont. s-a-1 0 D D 0 0 ■ A test for the stuck-at-1 fault shown in the diagram is 00.

Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 628 Exercise 2: Answers Cont. PI1=0 PI2= No fault PI1 s-a-0 PI1 s-a-1 PI2 s-a-0 PI2 s-a-1 PI2 s-a-1 detected ■ Parallel fault simulation of four PI faults is illustrated below. Fault PI2 s-a-1 is detected by the 00 test input.