Automatic Verification of Timing Constraints Asli Samir – JTag course 2006.

Slides:



Advertisements
Similar presentations
Synchronous Sequential Logic
Advertisements

Systematic method for capturing “design intent” of Clock Domain Crossing (CDC) logic in constraints Ramesh Rajagopalan Cisco Systems.
Timing Override Verification (TOV) Erik Seligman CS 510, Lecture 18, March 2009.
ECE 551 Digital System Design & Synthesis Lecture 08 The Synthesis Process Constraints and Design Rules High-Level Synthesis Options.
Logic Synthesis – 3 Optimization Ahmed Hemani Sources: Synopsys Documentation.
1 Introduction Sequential circuit –Output depends not just on present inputs (as in combinational circuit), but on past sequence of inputs Stores bits,
Computer Architecture CS 215
TIMING CLOSURE IN SYSTEM-ON-CHIP ERA Sam Appleton, CEO CONFIDENTIAL.
DAC IP Track Submission CDC aware power reduction for Soft IPs Ritesh Agarwal (Freescale™) Amit Goldie (Atrenta) Freescale Semiconductor Confidential.
1 Lecture 28 Timing Analysis. 2 Overview °Circuits do not respond instantaneously to input changes °Predictable delay in transferring inputs to outputs.
Introduction to CMOS VLSI Design Lecture 19: Design for Skew David Harris Harvey Mudd College Spring 2004.
CSE241 Formal Verification.1Cichy, UCSD ©2003 CSE241A VLSI Digital Circuits Winter 2003 Recitation 6: Formal Verification.
1 Digital Design: State Machines Timing Behavior Credits : Slides adapted from: J.F. Wakerly, Digital Design, 4/e, Prentice Hall, 2006 C.H. Roth, Fundamentals.
Assume array size is 256 (mult: 4ns, add: 2ns)
Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 4a1 Design for Testability Theory and Practice Lecture 4a: Simulation n What is simulation? n Design.
Kazi Spring 2008CSCI 6601 CSCI-660 Introduction to VLSI Design Khurram Kazi.
Charles Kime & Thomas Kaminski © 2008 Pearson Education, Inc. (Hyperlinks are active in View Show mode) Chapter 6 –Selected Design Topics Part 3 – Asynchronous.
Kazi Fall 2006 EEGN 4941 EEGN-494 HDL Design Principles for VLSI/FPGAs Khurram Kazi.
1 Assertion Based Verification 2 The Design and Verification Gap  The number of transistors on a chip increases approximately 58% per year, according.
ECE Synthesis & Verification1 ECE 667 Spring 2011 Synthesis and Verification of Digital Systems Verification Introduction.
Dr. Turki F. Al-Somani VHDL synthesis and simulation – Part 3 Microcomputer Systems Design (Embedded Systems)
1 EECS Components and Design Techniques for Digital Systems Lec 21 – RTL Design Optimization 11/16/2004 David Culler Electrical Engineering and Computer.
Logic Design Outline –Logic Design –Schematic Capture –Logic Simulation –Logic Synthesis –Technology Mapping –Logic Verification Goal –Understand logic.
1 Application Specific Integrated Circuits. 2 What is an ASIC? An application-specific integrated circuit (ASIC) is an integrated circuit (IC) customized.
مرتضي صاحب الزماني  The registers are master-slave flip-flops (a.k.a. edge-triggered) –At the beginning of each cycle, propagate values from primary inputs.
Principle of Functional Verification Chapter 1~3 Presenter : Fu-Ching Yang.
Global Timing Constraints FPGA Design Workshop. Objectives  Apply timing constraints to a simple synchronous design  Specify global timing constraints.
CSET 4650 Field Programmable Logic Devices
The Automatic Generation of Merged-Mode Design Constraints
COE4OI5 Engineering Design. Copyright S. Shirani 2 Course Outline Design process, design of digital hardware Programmable logic technology Altera’s UP2.
Lecture 5 Key Locker using FPGA 2007/10/05 Prof. C.M. Kyung.
ASIC/FPGA design flow. FPGA Design Flow Detailed (RTL) Design Detailed (RTL) Design Ideas (Specifications) Design Ideas (Specifications) Device Programming.
Using Formal Verification to Exhaustively Verify SoC Assemblies by Mark Handover Kenny Ranerup Applications Engineer ASIC Consultant Mentor Graphics Corp.
ECE Advanced Digital Systems Design Lecture 12 – Timing Analysis Capt Michael Tanner Room 2F46A HQ U.S. Air Force Academy I n t e g r i.
Lecture 9. MIPS Processor Design – Instruction Fetch Prof. Taeweon Suh Computer Science Education Korea University 2010 R&E Computer System Education &
VHDL IE- CSE. What do you understand by VHDL??  VHDL stands for VHSIC (Very High Speed Integrated Circuits) Hardware Description Language.
FORMAL VERIFICATION OF ADVANCED SYNTHESIS OPTIMIZATIONS Anant Kumar Jain Pradish Mathews Mike Mahar.
© BYU 18 ASYNCH Page 1 ECEn 224 Handling Asynchronous Inputs.
Fall 2004EE 3563 Digital Systems Design EE 3563 VHSIC Hardware Description Language  Required Reading: –These Slides –VHDL Tutorial  Very High Speed.
1 CDC Clock Domain Crossing. 2 Outline Introduction Introduction Basic terminology Basic terminology Types of synchronizers Types of synchronizers CDC.
MicroComputer Engineering DigitalCircuits slide 1 Combinational circuits Changes at inputs propagate at logic speed to outputs Not clocked No internal.
Introduction to ASIC flow and Verilog HDL
Lecture 1 – Overview (rSp06) ©2008 Joanne DeGroat, ECE, OSU -1- Functional Verification of Hardware Designs EE764 – Functional Verification of Hardware.
SoC Clock Synchronizers Project Elihai Maicas Harel Mechlovitz Characterization Presentation.
Silicon Programming--Testing1 Completing a successful project (introduction) Design for testability.
Chapter 11: System Design Methodology Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley11-1 Chapter 11: System Design.
ECE 448 Lecture 6 Finite State Machines State Diagrams vs. Algorithmic State Machine (ASM) Charts.
CS151 Introduction to Digital Design Chapter 5: Sequential Circuits 5-1 : Sequential Circuit Definition 5-2: Latches 1Created by: Ms.Amany AlSaleh.
04/21/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Functional & Timing Verification 10.2: Faults & Testing.
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 20: October 25, 2010 Pass Transistors.
Lecture 1 – Overview (rSp06) ©2008 Joanne DeGroat, ECE, OSU -1- Functional Verification of Hardware Designs EE764 – Functional Verification of Hardware.
Chapter 3 Boolean Algebra and Digital Logic T103: Computer architecture, logic and information processing.
Sequential Logic Circuit Design Eng.Maha Alqubali.
TOPIC : Introduction to Sequential Circuits UNIT 1: Modeling and Simulation Module 4 : Modeling Sequential Circuits.
Formal Verification of Clock Domain Crossing Using Gate-level Models of Metastable Flip-Flops Ghaith Tarawneh, Andrey Mokhov and Alex Yakovlev Newcastle.
EMT 351/4 DIGITAL IC DESIGN Verilog Behavioral Modeling  Finite State Machine -Moore & Mealy Machine -State Encoding Techniques.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 61 Lecture 6 Logic Simulation n What is simulation? n Design verification n Circuit modeling n True-value.
ASIC Design Methodology
Overview Part 1 – The Design Space
VLSI Testing Lecture 5: Logic Simulation
VLSI Testing Lecture 5: Logic Simulation
Introduction Introduction to VHDL Entities Signals Data & Scalar Types
Vishwani D. Agrawal Department of ECE, Auburn University
ECE 448 Lecture 6 Finite State Machines State Diagrams vs. Algorithmic State Machine (ASM) Charts.
Timing Analysis 11/21/2018.
CSE 370 – Winter Sequential Logic - 1
ECE 352 Digital System Fundamentals
ECE 551: Digital System Design & Synthesis
Synchronous Digital Design Methodology and Guidelines
Synchronous Digital Design Methodology and Guidelines
Presentation transcript:

Automatic Verification of Timing Constraints Asli Samir – JTag course 2006

Dft & JTag course 2006Automatic Verification of Timing Constraints 2  Today devices are challenging – million transistors & multiple gigahertz.  Unfortunately, the size and complexity of design constraints to build these designs are increasing exponentially.  One quarter of design projects undergo more than 10 iterations due to constraint issues.  Traditional design methods have largely ignored the design-constraint problem. Introduction

Dft & JTag course 2006Automatic Verification of Timing Constraints 3 Design constraints provide information on the design behavior and are usually generated by the designer. The timing constraints can be false- paths (FP) or multi-cycle paths (MCP), Design Constraints

Dft & JTag course 2006Automatic Verification of Timing Constraints 4 -- The number of transistors on a chip increases approximately 58% per year, according to Moore's Law. -- The design productivity, facilitated by EDA tool improvements, grows only 21% per year. The Design and Verification Gap

Dft & JTag course 2006Automatic Verification of Timing Constraints 5 GOAL Supplying an automatic way to verify the correctness of some timing constraints such as : Multicycle paths False paths A step before jtag, RTL level.

Dft & JTag course 2006Automatic Verification of Timing Constraints 6 False paths (FP) and multi-cycle-paths (MCP) are timing exceptions that present a particularly difficult problem when trying to achieve timing closure in modern, high-performance designs. Typically, these exceptions, as well as all timing constraints, are considered late in the design cycle and are specified in response to timing problems during verification. For optimum timing results, all timing exceptions must be guaranteed to be correct. MCP & FP We want to minimize the delay of the device

Dft & JTag course 2006Automatic Verification of Timing Constraints 7 A multi-cycle path in a design is a register-to-register path through some combinational logic where if the source-register changes, the path will require N cycles (where N > 1) before the computation is propagated to the destination register. - A finite-state machine controls the outputs such that the addition is driven out in one clock cycle, while the multiplication is driven out a cycle later, (needs 2 clk cycles) What is Multi-Cycle Path

Dft & JTag course 2006Automatic Verification of Timing Constraints 8 Example (MCP) The circuit above takes the signals a_in and b_in and inputs them to both an adder and multiplier. A finite-state machine controls the outputs such that the addition is driven out in one clock cycle, while the multiplication is driven out a cycle later. In other words, it takes two cycles to produce the multiplication result.

Dft & JTag course 2006Automatic Verification of Timing Constraints 9 - A false path is a path through a circuit that cannot be responsible for the circuit delay and no sequence of vectors result in the propagation of an event along the path. - There are two types of false paths: synchronous and asynchronous. Synchronous false paths are correct when the logic path cannot execute. Asynchronous false paths are correct if the source and the target flops of the paths are from asynchronous clock domains. Furthermore, a valid synchronization scheme is required. What is False Path

Dft & JTag course 2006Automatic Verification of Timing Constraints 10 Example (Synchronous FP) Notice the register muxReg that controls the two muxes in the design is one-hot, and as result there is no path from the input in1 to the output out2. Therefore this path may be ignored when performing timing analysis. 1 0 שינוי ב in1 לא מורגש ב out2

Dft & JTag course 2006Automatic Verification of Timing Constraints 11 CLK A CLK B DDADB CLK A DA CLK B DB Clock Domain Crossing Paths (CDC) Example (Asynchronous FP) Clock Domain Crossing Paths (CDC) Metastability Metastability

Dft & JTag course 2006Automatic Verification of Timing Constraints 12 Synchronizers Circuits that conditions CDC signals in order to reduce the probability of metastability CLK A CLK B D DA CLK A CLK B D DA DB

Dft & JTag course 2006Automatic Verification of Timing Constraints 13 CDC Synchronization Synchronizers —Flip-flops in sequence reduce probability of metastability —2 D flip-flops is the most commonly used scheme —But leads to unpredictable delay in signal propagation R1R2 clk_a clk_b data_indata_outsync clk_b sync R1.q R2.q דוגם אחרי 2 clk את הערך הנכון

Dft & JTag course 2006Automatic Verification of Timing Constraints 14 SDC – Synopsys Design Constraints SDC file describes the “design intent” and surrounding constraints for synthesis, clocking, time, power, test and environmental and operating conditions. This file includes the design constraints

Dft & JTag course 2006Automatic Verification of Timing Constraints 15 Description of the problem Textual mistakes. Missing time-to-market requirement. No method exists fo ensuring valid and consistent constraint formats in SDCs. difficult verification. Critical Impact.

Dft & JTag course 2006Automatic Verification of Timing Constraints 16 Description of the problem (cont) Textual mistakes : Since the SDC files are entered in text format, it is possible for the designer to make mistakes that causes the constraints to be inconsistent with the design. For example, the SDC files might reference a signal name that is not actually in the design. Missing time-to-market requirement : It is essential to eliminate fundamental problems as early in the design cycle as possible. If a designer applies flawed constraints to a design, he may be unable to mitigate problems that surface later without making sweeping changes to the design, which results in the project ’ s missing its time-to-market goals.

Dft & JTag course 2006Automatic Verification of Timing Constraints 17 Description of the problem (cont) No method exists for ensuring valid and consistent constraint formats in SDCs. difficult verification : The false-path and multi-cycle path exceptions that SDCs specify are difficult to verify in the design context.

Dft & JTag course 2006Automatic Verification of Timing Constraints 18 Description of the problem Critical Impact : Incorrect timing constraints can leave chips with critical timing bugs that can cause recalls, re-spins, and redesigns, costing hundreds of thousands of dollars. Worse still, delays in getting to market and missed opportunities can be devastating.

Dft & JTag course 2006Automatic Verification of Timing Constraints 19 Solution Automatic Verification of Timing Constraints Fortunately, Formal Verification can be used to analyze false path and multi-cycle path constraints and verify their correctness. SolidTC, a timing constraint verifier from Averant, applies formal verification technology to the problem of verifying timing constraints in complex, multi-million gate designs. The automatic tool

Dft & JTag course 2006Automatic Verification of Timing Constraints 20 Flow Through The Tool It’s important to note this is a RTL too. When a path is reported as false, it is false under all delay assignments to gates and wires.

Dft & JTag course 2006Automatic Verification of Timing Constraints 21 Conclusion Achieving timing closure is a critical factor in producing reliable, bug-free, high- performance designs. The key to this is thorough design verification, being sure not to inadvertently relax the design tests through the application of incorrect timing constraints.

Dft & JTag course 2006Automatic Verification of Timing Constraints 22 THANK YOU