Architectural Power Management for Battery Lifetime Optimization in Portable Systems Department of Electrical and Computer Engineering Auburn University,

Slides:



Advertisements
Similar presentations
Subthreshold SRAM Designs for Cryptography Security Computations Adnan Gutub The Second International Conference on Software Engineering and Computer Systems.
Advertisements

October 2nd Karthik’s MS Defense DVF4: A Dual Vth Feedback Type 4-Transistor Level Converter Master’s Defense Karthik Naishathrala Jayaraman Department.
Minimum Energy CMOS Design with Dual Subthrehold Supply and Multiple Logic-Level Gates Kyungseok Kim and Vishwani D. Agrawal ECE Dept. Auburn University.
5/9/2015 A 32-bit ALU with Sleep Mode for Leakage Power Reduction Manish Kulkarni Department of Electrical and Computer Engineering Auburn University,
Dynamic SCAN Clock control In BIST Circuits
Dynamic Scan Clock Control In BIST Circuits Priyadharshini Shanmugasundaram Vishwani D. Agrawal
Polynomial-Time Algorithms for Designing Dual-Voltage Energy Efficient Circuits Master’s Thesis Defense Mridula Allani Advisor : Dr. Vishwani D. Agrawal.
Energy Source Lifetime Optimization for a Digital System through Power Management Advisor: Dr. Vishwani Agrawal Committee: Dr. Adit Singh and Dr. Victor.
True Minimum Energy Design Using Dual Below-Threshold Supply Voltages Kyungseok Kim and Vishwani D. Agrawal ECE Dept. Auburn University Auburn, AL 36849,
Energy Source Lifetime Optimization for a Digital System through Power Management Department of Electrical and Computer Engineering Auburn University,
Externally Tested Scan Circuit with Built-In Activity Monitor and Adaptive Test Clock Priyadharshini Shanmugasundaram Vishwani D. Agrawal.
10/27/05ELEC / Lecture 161 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
11/03/05ELEC / Lecture 181 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Spring 07, Feb 20 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Reducing Power through Multicore Parallelism Vishwani.
A Tutorial on Battery Simulation - Matching Power Source to Electronic System Manish Kulkarni and Vishwani D. Agrawal Auburn University Auburn, AL 36849,
Priyadharshini Shanmugasundaram Vishwani D. Agrawal DYNAMIC SCAN CLOCK CONTROL FOR TEST TIME REDUCTION MAINTAINING.
Spring 07, Feb 27 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Power Consumption in a Memory Vishwani D. Agrawal.
8/18/05ELEC / Lecture 11 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Fall 2006, Nov. 28 ELEC / Lecture 11 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits Power Analysis: High-Level.
10/13/05ELEC / Lecture 131 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Copyright Agrawal, 2007 ELEC6270 Fall 07, Lecture 14 1 ELEC 5270/6270 Fall 2007 Low-Power Design of Electronic Circuits Power Aware Microprocessors Vishwani.
Micro-Architecture Techniques for Sensor Network Processors Amir Javidi EECS 598 Feb 25, 2010.
Lecture 5 – Power Prof. Luke Theogarajan
Spring 07, Feb 22 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Power Aware Microprocessors Vishwani D. Agrawal.
Architectural Power Management for High Leakage Technologies Department of Electrical and Computer Engineering Auburn University, Auburn, AL /15/2011.
Lecture 7: Power.
Fall 2006: Dec. 5 ELEC / Lecture 13 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits Adiabatic Logic Vishwani.
9/27/05ELEC / Lecture 91 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Low Power Design of Integrated Systems Assoc. Prof. Dimitrios Soudris
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
6.893: Advanced VLSI Computer Architecture, September 28, 2000, Lecture 4, Slide 1. © Krste Asanovic Krste Asanovic
Power-Aware SoC Test Optimization through Dynamic Voltage and Frequency Scaling Vijay Sheshadri, Vishwani D. Agrawal, Prathima Agrawal Dept. of Electrical.
Managing Performance and Efficiency of a Processor Advisor: Dr. Vishwani Agrawal Committee: Dr. Adit Singh and Dr. Victor Nelson Department of Electrical.
Low Power Techniques in Processor Design
Determining the Optimal Process Technology for Performance- Constrained Circuits Michael Boyer & Sudeep Ghosh ECE 563: Introduction to VLSI December 5.
Power Reduction for FPGA using Multiple Vdd/Vth
Copyright Agrawal, 2011ELEC5270/6270 Spr 15, Lecture 71 ELEC 5270/6270 Spring 2015 Low-Power Design of Electronic Circuits Energy Source Design Vishwani.
Dept. of Computer Science, UC Irvine
An Efficient Algorithm for Dual-Voltage Design Without Need for Level-Conversion SSST 2012 Mridula Allani Intel Corporation, Austin, TX (Formerly.
Jia Yao and Vishwani D. Agrawal Department of Electrical and Computer Engineering Auburn University Auburn, AL 36830, USA Dual-Threshold Design of Sub-Threshold.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Low Power Architecture and Implementation of Multicore Design Khushboo Sheth, Kyungseok Kim Fan Wang, Siddharth Dantu ELEC6270 Low Power Design of Electronic.
Using Cycle Efficiency as a System Designer Metric to Characterize an Embedded DSP and Compare Hard Core vs. Soft Core Advisor Dr. Vishwani D. Agrawal.
Canary SRAM Built in Self Test for SRAM VMIN Tracking
3 rd Nov CSV881: Low Power Design1 Power Estimation and Modeling M. Balakrishnan.
Implementation of a Simple 8-bit Microprocessor with Reversible Energy Recovery Logic Seokkee Kim and Soo-Ik Chae System Design Group School of Electrical.
Dec 3, 2008Sheth: MS Thesis1 A Hardware-Software Processor Architecture Using Pipeline Stalls For Leakage Power Management Khushboo Sheth Master’s Thesis.
Patricia Gonzalez Divya Akella VLSI Class Project.
Copyright Agrawal, 2007ELEC6270 Spring 09, Lecture 71 ELEC 5270/6270 Spring 2009 Low-Power Design of Electronic Circuits Power Analysis: High-Level Vishwani.
Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 6.1 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng.
11/15/05ELEC / Lecture 191 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Characterizing Processors for Energy and Performance Management Harshit Goyal and Vishwani D. Agrawal Department of Electrical and Computer Engineering,
LOW POWER DESIGN METHODS
M V Ganeswara Rao Associate Professor Dept. of ECE Shri Vishnu Engineering College for Women Bhimavaram Hardware Architecture of Low-Power ALU using Clock.
PipeliningPipelining Computer Architecture (Fall 2006)
YASHWANT SINGH, D. BOOLCHANDANI
VLSI Testing Lecture 5: Logic Simulation
LOW POWER DESIGN METHODS V.ANANDI ASST.PROF,E&C MSRIT,BANGALORE.
Vishwani D. Agrawal James J. Danaher Professor
Vishwani D. Agrawal Department of ECE, Auburn University
CDA 3101 Spring 2016 Introduction to Computer Organization
Analytical Delay and Variation Modeling for Subthreshold Circuits
Analytical Delay and Variation Modeling for Subthreshold Circuits
Vishwani D. Agrawal James J. Danaher Professor
M.S. Thesis Defense Murali Dharan Advisor: Dr. Vishwani D. Agrawal
CSV881: Low-Power Design Multicore Design for Low Power
Vishwani D. Agrawal James J. Danaher Professor
Vishwani D. Agrawal James J. Danaher Professor
Circuit Design Techniques for Low Power DSPs
A High Performance SoC: PkunityTM
Presentation transcript:

Architectural Power Management for Battery Lifetime Optimization in Portable Systems Department of Electrical and Computer Engineering Auburn University, Auburn, AL 36849, USA 1 Manish Kulkarni Vishwani D. Agrawal Manish Kulkarni and Vishwani AgrawalVLSI Design and Test Symposium, July 2011

Outline Recent work and publications Summary of tutorial on battery modeling and efficiency (VDAT’10) Energy source optimization methods Functional management Hardware modes for power reduction Power gating example Power savings in components of a processor SLOP implementation in a pipeline Power and energy savings Conclusion References 2Manish Kulkarni and Vishwani AgrawalVLSI Design and Test Symposium, July 2011

Recent Work and Publications  Khushaboo Sheth, “A Hardware-Software Processor Architecture using Pipeline Stalls for Leakage Power Management,” Master’s Thesis, Auburn University, ECE Dept.,Dec  M. Kulkarni and V. D. Agrawal, “Matching Power Source to Electronic System: A Tutorial on Battery Simulation,” Proc. VLSI Design and Test Symposium, July  M. Kulkarni, “Energy Source Lifetime Optimization for a Digital System through Power Management,” Master’s Thesis, Auburn University, ECE Dept., Dec  M. Kulkarni and V. D. Agrawal, “Energy Source Lifetime Optimization for a Digital System through Power Management,” Proc. 43rd IEEE Southeastern Symp. System Theory, Mar. 2011, pp. 75–80.  M. Kulkarni, K. Sheth, and V. D. Agrawal, “Architectural Power Management for High Leakage Technologies,” Proc. 43rd IEEE Southeastern Symp. System Theory, Mar. 2011, pp. 69–74. 3Manish Kulkarni and Vishwani AgrawalVLSI Design and Test Symposium, July 2011

An Electronic System Model for Dynamic Voltage and Frequency Scaling (DVFS) VLSI Design and Test Symposium, July 2011Manish Kulkarni and Vishwani Agrawal4 DC – DC Voltage Converter [9] Electronic System 4.2 V to 3.5 V Lithium- ion Battery Decoupling Capacitor VDD GND Electronic systems are not always required to be in highest performance mode Frequency and voltage can be varied Multi-voltage domains can be created which can use DVFS or power shutdown

VLSI Design and Test Symposium, July Battery Simulation Model Lithium-ion battery, unit cell capacity: N = 1 (400mAh) Battery sizes, N = 2 (800mAh), N = 3 (1.2Ah), etc. [3] M. Chen and G. A. Rincón-Mora, “Accurate Electrical Battery Model Capable of Predicting Runtime and I-V Performance,” IEEE Transactions on Energy Conversion, vol. 21, no. 2, pp. 504–511, June Manish Kulkarni and Vishwani Agrawal

VLSI Design and Test Symposium, July Higher Circuit Speed, Lower Battery Efficiency Simulation of 70-Million Gate SOC With 400mAh Battery (MHz) Manish Kulkarni and Vishwani Agrawal DVFS 619 Giga Cycles or 50 minutes Higher Battery Lifetime, Lower Circuit Speed

Summary of Battery Tutorial Battery size VDD = 0.6V, 200MHzVDD = 0.3V*, 3.86MHz Effici. % Lifetime Effici. % Lifetime NmAh x10 3 seconds X10 9 cycles x10 3 seconds X10 9 cycles VLSI Design and Test Symposium, July > two-times 1.Battery size should match the current need and satisfy the lifetime requirement of the system: a)Undersize battery has poor efficiency. b)Oversize battery is bulky and expensive. 2.Minimum energy mode can significantly increase battery lifetime. 3.A practical case of application where a miniature (undersized) battery is required is discussed in [9]. * Operation of circuits in sub-threshold voltage range (below 200 mV) have been verified [12][13] Manish Kulkarni and Vishwani Agrawal

8 Clock Rate Management Functional Management Voltage Management Energy Source Optimization Methods Dynamic Voltage Management Multi-Voltage design Dynamic Frequency Management Retiming Fetch Throttling Dynamic Task Scheduling Instruction Slowdown Low Power solutions to common operations e.g. Low Power FSMs, Bus Encoding etc Dynamic Voltage and Frequency Scaling (DVFS) Clock Rate Management Functional Management Voltage Management Parallel and Multi-core Architectures Manish Kulkarni and Vishwani AgrawalVLSI Design and Test Symposium, July 2011

Low Power Design Techniques – Dynamic voltage and frequency scaling (DVFS) Scale Voltage and Frequency depending on throughput requirement. Use of multi-voltage domains and multiple clocks. – Frequency scaling at constant voltage (Clock Slowdown) Increase in leakage energy in high leakage technologies Voltage scaling has a limit. 22nm bulk CMOS, Vnom = 0.8 V, Vth = 0.32 V [4] High power delivered at low voltages causes higher IR drops in power rails in chips. Proposed method – Instruction slowdown [8] Voltage and Frequency are kept constant. Specialized instructions called Slowdown for LOw Power (SLOP) are inserted in the pipeline. Additional control is provided in the data path to execute Clock Gating (CG) or Power Gating (PG) of idle units in the pipeline. 9 Functional Management Manish Kulkarni and Vishwani AgrawalVLSI Design and Test Symposium, July 2011

Hardware Modes for Power Reduction Power gating (PG) – Used primarily for combinational logic – Header or footer switches to reduce leakage power Clock gating (CG) – Used for flip flops and registers – Reduces switching activity; data is retained – No need for state retention Drowsy mode – Used for caches, memories and register files – Memory cells are put in low voltage mode – Address decoders and sense amplifiers in power gated mode 10 Figure: Power Gating Figure: Clock Gating Logic Block Header Switch Sleep Virtual Supply Manish Kulkarni and Vishwani AgrawalVLSI Design and Test Symposium, July 2011

11 Example of Power Gating Data 1 Data 2 Add / Sub Data Out bit ALU (Low V t ) Sleep Transistor Network (High V t ) VDD Sleep GND_V Normal Mode X (W) Sleep Mode X (W) Power Saving (%) Avg. Dynamic Power % Avg. Leakage Power % Peak Power % Minimum Power % Results obtained by Simulation of a 32-bit, ALU using HSPICE [5] with PTM bulk CMOS models [4] Manish Kulkarni and Vishwani AgrawalVLSI Design and Test Symposium, July 2011

12 Hardware blockPower mode during SLOP Power consumed (%)* DynamicStatic PCCG25100 Instruction and Data cacheDrowsy25 Register fileCG30100 Forwarding, hazard unitPG≈0 ALU, FPU, comparators, branch decoders PG≈0 Control UnitNormal100 Pipeline registersCG50100 Multiplexers, other addersPG≈0 *Normal mode power consumption for each block is 100% PG – Power gating, CG – Clock gating Power Savings in Processor Blocks Manish Kulkarni and Vishwani Agrawal VLSI Design and Test Symposium, July 2011

13 CC1CC2 CC3CC4CC5CC6CC7 Normal Mode Operation LW $8, 0($7) ADD $9, $8, $2 SW $9, 0($7) Manish Kulkarni and Vishwani AgrawalVLSI Design and Test Symposium, July 2011

14 Operation With One SLOP CC1CC2 CC3CC4CC5CC6CC7 LW $8, 0($7) ADD $9, $8, $2 SW $9, 0($7) SLOP CC8CC9 Manish Kulkarni and Vishwani AgrawalVLSI Design and Test Symposium, July 2011

15 IF CG PG Drowsy ID CG PG DM Drowsy PG EX PG WB PG Manish Kulkarni and Vishwani AgrawalVLSI Design and Test Symposium, July 2011 Ref. Patterson and Hennessey.

16 T T T Instantaneous Power SLOP Freq. Scaling (Clock Slowdown) Instruction Slowdown Dynamic Power Leakage Power SLOP Normal VLSI Design and Test Symposium, July 2011 Manish Kulkarni and Vishwani Agrawal

17 Power, Energy and Lifetime Ratios For 32 nm bulk CMOS models Ideal Battery of 800 mAh Capacity Power, energy and lifetimes are normalized to their values with zero SLOPs inserted, i.e., normal mode of operation. Manish Kulkarni and Vishwani AgrawalVLSI Design and Test Symposium, July 2011

18 Battery Lifetime Improvement For 32 nm bulk CMOS models Battery of 800 mAh Capacity Manish Kulkarni and Vishwani AgrawalVLSI Design and Test Symposium, July 2011

1.The proposed architectural power management method is demonstrated to be beneficial towards power optimization and energy source efficiency in high leakage technologies. 2.SLOP insertion method offers a unique opportunity in hardware and software management for energy efficiency. SLOPs may additionally eliminate pipeline hazards. 3.Use of SLOPs in superscalers and out-of-order processors can be further studied and analyzed. 19 Conclusion Manish Kulkarni and Vishwani AgrawalVLSI Design and Test Symposium, July 2011

References 1.M. Pedram and Q. Wu, “Design Considerations for Battery-Powered Electronics,” Proc. 36th Design Automation Conference, June 1999, pp. 861– L. Benini, G. Castelli, A. Macii, E. Macii, M. Poncino, and R. Scarsi, “A Discrete-Time Battery Model for High-Level Power Estimation,” Proc. Conference on Design, Automation and Test in Europe, Mar. 2000, pp. 35–41. 3.M. Chen and G. A. Rincón-Mora, “Accurate Electrical Battery Model Capable of Predicting Runtime and I- V Performance,” IEEE Transactions on Energy Conversion, vol. 21, no. 2, pp. 504–511, June Simulation model: 45nm bulk CMOS, predictive technology model (PTM), 5. Simulator: Synopsys HSPICE, ds.pdf ds.pdf 7.M. Kulkarni and V. D. Agrawal, “Matching Power Source to Electronic System: A Tutorial on Battery Simulation,” Proc. VLSI Design and Test Symposium, July K. Sheth, “A Hardware-Software Processor Architecture using Pipeline Stalls for Leakage Power Management,” Master’s Thesis, Auburn University, ECE Dept., Dec M. Kulkarni, “Energy Source Lifetime Optimization for a Digital System through Power Management,” Master’s Thesis, Auburn University, ECE Dept., Dec M. Kulkarni and V. D. Agrawal, “Energy Source Lifetime Optimization for a Digital System through Power Management,” Proc. 43rd IEEE Southeastern Symp. System Theory, Mar. 2011, pp. 75– M. Kulkarni, K. Sheth, and V. D. Agrawal, “Architectural Power Management for High Leakage Technologies,” Proc. 43rd IEEE Southeastern Symp. System Theory, Mar. 2011, pp. 69– S. Hanson, B. Zhai, M. Seok, B. Cline, K. Zhou, M. Singhal, M. Minuth, J. Olson, L. Nazhandali, T. Austin, D. Sylvester, and D. S. Blaauw, “Performance and variability optimization strategies in a sub-200 mV, 3.5 pJ/inst, 11 nW subthreshold processor,” Symp. VLSI Circuits Digest, Jun. 2007, pp. 152– B. Zhai, S. Hanson, D. Blaauw, and D. Sylvester, “A Variation-Tolerant Sub-200mV 6-T Subthreshold SRAM,” IEEE Journal of Solid-State Circuits, vol. 43, no. 10. pp , Oct Manish Kulkarni and Vishwani AgrawalVLSI Design and Test Symposium, July 2011