Topological Design of Clock Distribution Networks Based on Non-Zero Clock Skew Specification A Class Presentation for VLSI course By: Sareh Mohebali By:

Slides:



Advertisements
Similar presentations
Xilinx Virtex-5 FPGA Clocking
Advertisements

Gregory Shklover, Ben Emanuel Intel Corporation MATAM, Haifa 31015, Israel Simultaneous Clock and Data Gate Sizing Algorithm with Common Global Objective.
Graph. -traffic modeling MAXIMUM FLOW -shortest (fastest) route SHORTEST PATH -efficient distribution, logistics, pickup/delivery HAMILTONIAN PATH/CYCLE,
Courtesy RK Brayton (UCB) and A Kuehlmann (Cadence) 1 Logic Synthesis Sequential Synthesis.
Spring 08, Mar 11 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2008 Zero - Skew Clock Routing Vishwani D. Agrawal.
4/22/ Clock Network Synthesis Prof. Shiyan Hu Office: EREC 731.
Ch.7 Layout Design Standard Cell Design TAIST ICTES Program VLSI Design Methodology Hiroaki Kunieda Tokyo Institute of Technology.
1 Interconnect Layout Optimization by Simultaneous Steiner Tree Construction and Buffer Insertion Presented By Cesare Ferri Takumi Okamoto, Jason Kong.
Clock Skewing EECS 290A Sequential Logic Synthesis and Verification.
Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Topics High-level synthesis. Architectures for low power. GALS design.
Logical Effort A Method to Optimize Circuit Topology Swarthmore College E77 VLSI Design Adem Kader David Luong Mark Piper December 6, 2005.
Introduction to CMOS VLSI Design Lecture 19: Design for Skew David Harris Harvey Mudd College Spring 2004.
CSE241 Formal Verification.1Cichy, UCSD ©2003 CSE241A VLSI Digital Circuits Winter 2003 Recitation 6: Formal Verification.
Introduction to CMOS VLSI Design Clock Skew-tolerant circuits.
PERFORMANCE OPTIMIZATION OF SINGLE-PHASE LEVEL-SENSITIVE CIRCUITS BARIS TASKIN AND IVAN S. KOURTEV UNIVERSITY OF PITTSBURGH DEPARTMENT OF ELECTRICAL ENGINEERING.
EE141 © Digital Integrated Circuits 2nd Timing Issues 1 Digital Integrated Circuits A Design Perspective Timing Issues Jan M. Rabaey Anantha Chandrakasan.
CSE477 L19 Timing Issues; Datapaths.1Irwin&Vijay, PSU, 2002 CSE477 VLSI Digital Circuits Fall 2002 Lecture 19: Timing Issues; Introduction to Datapath.
Clock Design Adopted from David Harris of Harvey Mudd College.
Chapter 11 Timing Issues in Digital Systems Boonchuay Supmonchai Integrated Design Application Research (IDAR) Laboratory August 20, 2004; Revised - July.
VLSI Physical Design: From Graph Partitioning to Timing Closure Paper Presentation © KLMH Lienig 1 EECS 527 Paper Presentation Topological Design of Clock.
A Novel Clock Distribution and Dynamic De-skewing Methodology Arjun Kapoor – University of Colorado at Boulder Nikhil Jayakumar – Texas A&M University,
1 DAOmap: A Depth-optimal Area Optimization Mapping Algorithm for FPGA Designs Deming Chen, Jacon Cong ICCAD 2004 Presented by: Wei Chen.
ER UCLA UCLA ICCAD: November 5, 2000 Predictable Routing Ryan Kastner, Elaheh Borzorgzadeh, and Majid Sarrafzadeh ER Group Dept. of Computer Science UCLA.
EE 141 Project 2May 8, Outstanding Features of Design Maximize speed of one 8-bit Division by: i. Observing loop-holes in 8-bit division ii. Taking.
A Timing-Driven Soft-Macro Resynthesis Method in Interaction with Chip Floorplanning Hsiao-Pin Su 1 2 Allen C.-H. Wu 1 Youn-Long Lin 1 1 Department of.
Vishwani D. Agrawal James J. Danaher Professor
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 22: Material Review Prof. Sherief Reda Division of Engineering, Brown University.
1 Application Specific Integrated Circuits. 2 What is an ASIC? An application-specific integrated circuit (ASIC) is an integrated circuit (IC) customized.
Processing Rate Optimization by Sequential System Floorplanning Jia Wang 1, Ping-Chih Wu 2, and Hai Zhou 1 1 Electrical Engineering & Computer Science.
1 Enhancing Performance of Iterative Heuristics for VLSI Netlist Partitioning Dr. Sadiq M. Sait Dr. Aiman El-Maleh Mr. Raslan Al Abaji. Computer Engineering.
1 ENTITY test is port a: in bit; end ENTITY test; DRC LVS ERC Circuit Design Functional Design and Logic Design Physical Design Physical Verification and.
Hierarchical Physical Design Methodology for Multi-Million Gate Chips Session 11 Wei-Jin Dai.
Register-Transfer (RT) Synthesis Greg Stitt ECE Department University of Florida.
On-Chip Communication Architectures
DELAY INSERTION METHOD IN CLOCK SKEW SCHEDULING BARIS TASKIN and IVAN S. KOURTEV ISPD 2005 High Performance Integrated Circuit Design Lab. Department of.
Xin-Wei Shih and Yao-Wen Chang.  Introduction  Problem formulation  Algorithms  Experimental results  Conclusions.
Modern VLSI Design 4e: Chapter 7 Copyright  2008 Wayne Wolf Topics Global interconnect. Power/ground routing. Clock routing. Floorplanning tips. Off-chip.
CSE 242A Integrated Circuit Layout Automation Lecture: Partitioning Winter 2009 Chung-Kuan Cheng.
Introduction to VLSI Design – Lec01. Chapter 1 Introduction to VLSI Design Lecture # 2 A Circuit Design Example.
Research on Analysis and Physical Synthesis Chung-Kuan Cheng CSE Department UC San Diego
CAD for Physical Design of VLSI Circuits
CMOS Design Methods.
An Efficient Clustering Algorithm For Low Power Clock Tree Synthesis Rupesh S. Shelar Enterprise Microprocessor Group Intel Corporation, Hillsboro, OR.
HDL-Based Layout Synthesis Methodologies Allen C.-H. Wu Department of Computer Science Tsing Hua University Hsinchu, Taiwan, R.O.C {
Description and Analysis of MULTIPLIERS using LAVA.
Implementation of Finite Field Inversion
Network and Communications Ju Wang Chapter 5 Routing Algorithm Adopted from Choi’s notes Virginia Commonwealth University.
Massachusetts Institute of Technology 1 L14 – Physical Design Spring 2007 Ajay Joshi.
05/04/06 1 Integrating Logic Synthesis, Tech mapping and Retiming Presented by Atchuthan Perinkulam Based on the above paper by A. Mishchenko et al, UCAL.
Optimal digital circuit design Mohammad Sharifkhani.
Introduction to CMOS VLSI Design Lecture 5: Logical Effort GRECO-CIn-UFPE Harvey Mudd College Spring 2004.
Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Topics Basics of register-transfer design: –data paths and controllers; –ASM charts. Pipelining.
Modern VLSI Design 3e: Chapter 7 Copyright  1998, 2002 Prentice Hall PTR Topics n Power/ground routing. n Clock routing. n Floorplanning tips. n Off-chip.
1 Synthesizing Datapath Circuits for FPGAs With Emphasis on Area Minimization Andy Ye, David Lewis, Jonathan Rose Department of Electrical and Computer.
Courtesy RK Brayton (UCB) and A Kuehlmann (Cadence) 1 Logic Synthesis Multi-Level Logic Synthesis.
Introduction to Clock Tree Synthesis
VLSI Floorplanning and Planar Graphs prepared and Instructed by Shmuel Wimer Eng. Faculty, Bar-Ilan University July 2015VLSI Floor Planning and Planar.
Static Timing Analysis
Static Timing Analysis - II Sushant SinghSushant Singh.
Spanning Trees Dijkstra (Unit 10) SOL: DM.2 Classwork worksheet Homework (day 70) Worksheet Quiz next block.
Yiting Xia, T. S. Eugene Ng Rice University
Chapter 7 – Specialized Routing
James D. Z. Ma Department of Electrical and Computer Engineering
ECE 434 Advanced Digital System L13
CMOS VLSI Design Chapter 13 Clocks, DLLs, PLLs
CMOS VLSI Design Chapter 13 Clocks, DLLs, PLLs
ELEC 7770 Advanced VLSI Design Spring 2014 Technology Mapping
332:578 Deep Submicron VLSI Design Lecture 14 Design for Clock Skew
Description and Analysis of MULTIPLIERS using LAVA
Warm Up – Monday Calculate the Redundancy of the above network.
Presentation transcript:

Topological Design of Clock Distribution Networks Based on Non-Zero Clock Skew Specification A Class Presentation for VLSI course By: Sareh Mohebali By: Sareh Mohebali Based on the work presented in: Design of Clock Distribution Networks Authors: Jose Luis Neves and Eby G.Friedman Department of Electrical Engineering University of Rochester

Outline   DETERMINATION OF CLOCK PATH DELAY   Theoretical Background   Clock Path Delay Algorithm   TOPOLOGY OF CLOCK DISTRIBUTION NETWORK   Construction Of The Clock Tree Structure   Calculation Of Branch Delay   Reorganization Of The Clock Tree

Increasing Performance Of Clock Distribution Network  Repeater Insertion  To convert highly resistive-capacitive networks into effectively capacitive networks  Symmetric Distribution Networks such as H-tree Structures  To ensure minimal clock skew  Zero Skew Clock Routing Algorithms  To automatically layout these high speed networks in cell-based designs

Determination of Clock Path Delay  Negative Clock Skew (Cycle Stealing)  Shifts delay from the faster neighboring local data paths into the slower critical paths  Reducing the system-wide clock period  Improving overall circuit performance  Investigating any relationship between the clock skews of the sequentially adjacent registers within a global data path  Describing the clock skew of global data paths which contain feedback paths

Clock Path Delay Algorithm  Choosing the local data path with the largest clock skew  Positive clock skew  Negative clock skew  Graph representation of the circuit  Path_Delay procedure

Calculating Clock Delay

Algorithm to Find the Optimal Clock Delay to each Register

Topology of Clock Distribution Network  Providing an independent path delay for each register  Isolating each clock signal  Requiring simple circuit composed of cascaded inverters  Expending significant chip area  Tree structure  Extracting hierarchy of the circuit from the circuit netlist  Calculating the individual clock delays  Internal and External branches

Hierarchical representation of the data path example

Determining the Individual Branch Delay  Delay of external branches  When both registers within a local data path are driven by the same branching point  Delay of internal branches  Having a global data path driven by more than one branching point  Delay shifting  Reorganize the delay of the external branches to reduce the total number branches to reduce the total number of delay units of delay units  Increasing flexibility of the circuit  implementation

Reorganization of the Clock Tree  Having circuit descriptions which are completely or partially flat  Having a clock distribution network with independent clock paths for each register

Results  The algorithms for determining the minimum clock delay of each register and for calculating the clock delay and circuit topology of the clock distribution network