1 On Convergence of Switching Windows Computation in Presence of Crosstalk Noise Pinhong Chen* +, Yuji Kukimoto +, Chin-Chi Teng +, Kurt Keutzer* *Dept.

Slides:



Advertisements
Similar presentations
QoS-based Management of Multiple Shared Resources in Dynamic Real-Time Systems Klaus Ecker, Frank Drews School of EECS, Ohio University, Athens, OH {ecker,
Advertisements

EE 201A Modeling and Optimization for VLSI LayoutJeff Wong and Dan Vasquez EE 201A Noise Modeling Jeff Wong and Dan Vasquez Electrical Engineering Department.
Differential Amplifiers
4/22/ Clock Network Synthesis Prof. Shiyan Hu Office: EREC 731.
1 Modeling and Optimization of VLSI Interconnect Lecture 9: Multi-net optimization Avinoam Kolodny Konstantin Moiseev.
Timing Analysis Predicated on a topological ordering. l 1 = 1 level: l 2 = 1 x y x y z z c s g1g1 g4g4 g3g3 g2g2 g5g5 l 3 = 2 l 5 = 2 l 4 = 3.
Coupling-Aware Length-Ratio- Matching Routing for Capacitor Arrays in Analog Integrated Circuits Kuan-Hsien Ho, Hung-Chih Ou, Yao-Wen Chang and Hui-Fang.
A Timed-Automaton Based Method for Accurate Computation of Delay in the Presence of Cross-Talk Serdar Tasiran, Sunil P. Khatri, Sergio Yovine, Robert K.
Noise Model for Multiple Segmented Coupled RC Interconnects Andrew B. Kahng, Sudhakar Muddu †, Niranjan A. Pol ‡ and Devendra Vidhani* UCSD CSE and ECE.
The Impact of Variability on the Reliability of Long on-chip Interconnect in the Presence of Crosstalk Basel Halak, Santosh Shedabale, Hiran Ramakrishnan,
Minimum Dynamic Power CMOS Circuit Design by a Reduced Constraint Set Linear Program Tezaswi Raja Vishwani Agrawal Michael L. Bushnell Rutgers University,
NuCAD ELECTRICAL ENGINEERING AND COMPUTER SCIENCE McCormick Northwestern University Robert R. McCormick School of Engineering and Applied Science FA-STAC.
Aug 23, ‘021Low-Power Design Minimum Dynamic Power Design of CMOS Circuits by Linear Program Using Reduced Constraint Set Vishwani D. Agrawal Agere Systems,
May 14, ISVLSI 09 Algorithms for Estimating Number of Glitches and Dynamic Power in CMOS Circuits with Delay Variations Jins Davis Alexander Vishwani.
Statistical Crosstalk Aggressor Alignment Aware Interconnect Delay Calculation Supported by NSF & MARCO GSRC Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego.
Performance-Impact Limited Area Fill Synthesis
04/11/02EECS 3121 Lecture 26: Interconnect Modeling, continued EECS 312 Reading: 8.2.2, (text) HW 8 is due now!
1 Dynamic Power Estimation With Process Variation Modeled as Min–Max Delay Jins Davis Alexander Vishwani D. Agrawal Department of Electrical and Computer.
TH EDA NTHU-CS VLSI/CAD LAB 1 Re-synthesis for Reliability Design Shih-Chieh Chang Department of Computer Science National Tsing Hua University.
March 16, 2009SSST'091 Computing Bounds on Dynamic Power Using Fast Zero-Delay Logic Simulation Jins Davis Alexander Vishwani D. Agrawal Department of.
Computing Delay with Coupling Using Timed Automata Serdar Tasiran, Yuji Kukimoto, Robert K. Brayton Department of Electrical Engineering & Computer Sciences.
04/09/02EECS 3121 Lecture 25: Interconnect Modeling EECS 312 Reading: 8.3 (text), 4.3.2, (2 nd edition)
10/25/2007 ITC-07 Paper Delay Fault Simulation with Bounded Gate Delay Model Soumitra Bose Design Technology, Intel Corp. Folsom, CA Hillary.
DPIMM-03 1 Performance-Impact Limited Area Fill Synthesis Yu Chen, Puneet Gupta, Andrew B. Kahng (UCLA, UCSD) Supported by Cadence.
EE 685 presentation Optimization Flow Control, I: Basic Algorithm and Convergence By Steven Low and David Lapsley Asynchronous Distributed Algorithm Proof.
NuCAD ELECTRICAL ENGINEERING AND COMPUTER SCIENCE McCormick Northwestern University Robert R. McCormick School of Engineering and Applied Science Nostra-XTalk.
ECE C03 Lecture 61 Lecture 6 Delays and Timing in Multilevel Logic Synthesis Prith Banerjee ECE C03 Advanced Digital Design Spring 1998.
Timing Analysis of Cyclic Combinational Circuits Marc D. Riedel and Jehoshua Bruck California Institute of Technology IWLS, Temecula Creek, CA, June 4,
Statistical Gate Delay Calculation with Crosstalk Alignment Consideration Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego
RLC Interconnect Modeling and Design Students: Jinjun Xiong, Jun Chen Advisor: Lei He Electrical Engineering Department Design Automation Group (
Noise and Delay Uncertainty Studies for Coupled RC Interconnects Andrew B. Kahng, Sudhakar Muddu † and Devendra Vidhani ‡ UCLA Computer Science Department,
Signal Integrity Methodology on 300 MHz SoC using ALF libraries and tools Wolfgang Roethig, Ramakrishna Nibhanupudi, Arun Balakrishnan, Gopal Dandu Steven.
1 Encoding-based Minimization of Inductive Cross-talk for Off-Chip Data Transmission Brock J. LaMeres Agilent Technologies, Inc. Sunil P. Khatri Dept.
EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Modern VLSI Design 4e: Chapter 4 Copyright  2008 Wayne Wolf Topics n Interconnect design. n Crosstalk. n Power optimization.
ICCAD 2003 Algorithm for Achieving Minimum Energy Consumption in CMOS Circuits Using Multiple Supply and Threshold Voltages at the Module Level Yuvraj.
Crosstalk Analysis in UDSM technologies
Chapter 1 Infinite Series, Power Series
Capturing Crosstalk-Induced Waveform for Accurate Static Timing Analysis Masanori Hashimoto, Yuji Yamada, Hidetoshi Onodera Kyoto University.
Are classical design flows suitable below 0.18  ? ISPD 2001 NEC Electronics Inc. WR0999.ppt-1 Wolfgang Roethig Senior Engineering Manager EDA R&D Group.
Chapter 07 Electronic Analysis of CMOS Logic Gates
An Efficient Clustering Algorithm For Low Power Clock Tree Synthesis Rupesh S. Shelar Enterprise Microprocessor Group Intel Corporation, Hillsboro, OR.
Optimal digital circuit design Mohammad Sharifkhani.
EEE2243 Digital System Design Chapter 7: Advanced Design Considerations by Muhazam Mustapha, extracted from Intel Training Slides, April 2012.
Modern VLSI Design 3e: Chapter 4 Copyright  1998, 2002 Prentice Hall PTR Topics n Interconnect design. n Crosstalk. n Power optimization.
A Forward end-to-end delays Analysis for packet switched networks Georges Kemayo, Frédéric Ridouard, Henri Bauer, Pascal Richard LIAS, Université de Poitiers,
1 Efficient Obstacle-Avoiding Rectilinear Steiner Tree Construction Chung-Wei Lin, Szu-Yu Chen, Chi-Feng Li, Yao-Wen Chang, Chia-Lin Yang National Taiwan.
1 ε -Optimal Minimum-Delay/Area Zero-Skew Clock Tree Wire-Sizing in Pseudo-Polynomial Time Jeng-Liang Tsai Tsung-Hao Chen Charlie Chung-Ping Chen (National.
Crosstalk Noise Optimization by Post-Layout Transistor Sizing Masanori Hashimoto Masao Takahashi Hidetoshi Onodera Dept. CCE, Kyoto University.
EE 685 presentation Optimization Flow Control, I: Basic Algorithm and Convergence By Steven Low and David Lapsley.
Xuanxing Xiong and Jia Wang Electrical and Computer Engineering Illinois Institute of Technology Chicago, Illinois, United States November, 2011 Vectorless.
EE 4271 VLSI Design, Fall 2013 Static Timing Analysis and Gate Sizing Optimization.
Modern VLSI Design 3e: Chapter 3 Copyright  1998, 2002 Prentice Hall PTR Topics n Wire delay. n Buffer insertion. n Crosstalk. n Inductive interconnect.
1ISPD'03 Process Variation Aware Clock Tree Routing Bing Lu Cadence Jiang Hu Texas A&M Univ Gary Ellis IBM Corp Haihua Su IBM Corp.
Inductance Screening and Inductance Matrix Sparsification 1.
A Fully Polynomial Time Approximation Scheme for Timing Driven Minimum Cost Buffer Insertion Shiyan Hu*, Zhuo Li**, Charles Alpert** *Dept of Electrical.
A Novel Timing-Driven Global Routing Algorithm Considering Coupling Effects for High Performance Circuit Design Jingyu Xu, Xianlong Hong, Tong Jing, Yici.
1 Modeling and Optimization of VLSI Interconnect Lecture 2: Interconnect Delay Modeling Avinoam Kolodny Konstantin Moiseev.
1 Clarinet: A noise analysis tool for deep submicron design Rafi Levy Gabi Bracha, David Blaauw, Aurobindo Dasgupta, Amir Grinshpon,
Worst Case Crosstalk Noise for Nonswitching Victims in High-Speed Buses Jun Chen and Lei He.
Digital Integrated Circuits for Communication
Jason Cong, David Zhigang Pan & Prasanna V. Srinivas
SIDDAGANGA INSTITUTE OF TECHNOLOGY
First Derivative Test So far…
SIDDAGANGA INSTITUTE OF TECHNOLOGY
ELEC 7770 Advanced VLSI Design Spring 2010 Interconnects and Crosstalk
Chapter 3b Static Noise Analysis
Inductance Screening and Inductance Matrix Sparsification
Multiport, Multichannel Transmission Line: Modeling and Synthesis
Jason Cong, David Zhigang Pan & Prasanna V. Srinivas
Presentation transcript:

1 On Convergence of Switching Windows Computation in Presence of Crosstalk Noise Pinhong Chen* +, Yuji Kukimoto +, Chin-Chi Teng +, Kurt Keutzer* *Dept. of EECS, Univ. of California, Berkeley, CA + Silicon Perspective, A Cadence Company Santa Clara, CA

ISPD 2002Pinhong Chen, et al.2 Outline Introduction Introduction Crosstalk effects Crosstalk effects Switching windows computation Switching windows computation Numerical formulation Numerical formulation Fixed point computation Fixed point computation Convergence properties Convergence properties Discrete models Discrete models Conclusion Conclusion

ISPD 2002Pinhong Chen, et al.3 Introduction Crosstalk effects are important for DSM designs Crosstalk effects are important for DSM designs Static timing analysis needs to consider crosstalk effects: delay variation due to crosstalk noise Static timing analysis needs to consider crosstalk effects: delay variation due to crosstalk noise –Switching windows cannot be computed in one pass –Iterations are required –What are the numerical properties of the iterations?

ISPD 2002Pinhong Chen, et al.4 Increasing Coupling Capacitance Ratio in DSM Technologies Cs Cc Cs Wire aspect ratio changes: Grounded capacitance reduces but coupling capacitance increases! Grounded capacitance reduces but coupling capacitance increases!

ISPD 2002Pinhong Chen, et al.5 Crosstalk Noise Effects Crosstalk noise affects the circuit functionality/timing in two ways Crosstalk noise affects the circuit functionality/timing in two ways –Glitch propagation problem –Delay variation Aggressor Victim Aggressor Suffering from noise Contributing noise

ISPD 2002Pinhong Chen, et al.6 Crosstalk Noise Inducing Timing Variation Victim with noise Vdd/2 Opposite direction switching Same direction switching Aggressor Victim t

ISPD 2002Pinhong Chen, et al.7 Switching Window Definition What is “switching window” of a net? What is “switching window” of a net? –A timing interval during which a net could possibly make transitions Rise switching window Latest arrival time Earliest arrival time

ISPD 2002Pinhong Chen, et al.8 Importance of Switching Windows Switching windows help to isolate noise source Switching windows help to isolate noise source –No overlap between switching windows => no delay variation Switching window Victim Constant Signal Possible duration of switching Aggressor

ISPD 2002Pinhong Chen, et al.9 Chicken-and-Egg Problem S. S. Sapatnekar, IEPEP, S. S. Sapatnekar, IEPEP, Computing the latest arrival time of net a needs to know net b’s latest noisy arrival time Computing the latest arrival time of net a needs to know net b’s latest noisy arrival time a b

ISPD 2002Pinhong Chen, et al.10 Previous Work H. Zhou, et al. DAC 2001 H. Zhou, et al. DAC 2001 –Using lattice theory to prove convergence –Showing multiple convergence points –Discrete in nature Our contributions Our contributions –Numerical framework and formulation –Numerical fixed point computation –Examining effects of coupling models and overlapping models –Examining properties of convergence

ISPD 2002Pinhong Chen, et al.11 Switching Window Overlapping Function 1.0 Delta delay = Maximum delta delay of victim net i due to aggressor j Overlapping function No noise Fractional noise Maximum noise

ISPD 2002Pinhong Chen, et al.12 Formulation of Latest Arrival Time Considering Crosstalk Noise Latest arrival time of net i Interconnect delay Gate delay Latest arrival time of net k Earliest arrival time of net j Delta delay due to aggressor j

ISPD 2002Pinhong Chen, et al.13 Latest Arrival Time Function Victim Aggressor 1 Aggressor 2

ISPD 2002Pinhong Chen, et al.14 Switching Window Formulation

ISPD 2002Pinhong Chen, et al.15 Bounds of Switching Windows Earliest arrival time considering noise Latest arrival time considering noise Lower bound (no noise) Upper bound (max noise) Set to get the upper bound Set to get the upper bound

ISPD 2002Pinhong Chen, et al.16 Convergence of Switching Windows computation For N nets, 2N variables are needed For N nets, 2N variables are needed Converged when Converged when Fixed point

ISPD 2002Pinhong Chen, et al.17 Fixed Point Computation For any two points in a closed and bounded domain, if there exists a constant such that For any two points in a closed and bounded domain, if there exists a constant such that –The fixed point iteration converges and guarantees a unique convergence point –A sufficient condition for uniqueness, existence, and convergence

ISPD 2002Pinhong Chen, et al.18 Multiple Convergence Points L < 1 is not guaranteed in switching windows calculation L < 1 is not guaranteed in switching windows calculation Multiple convergence points, depending on the initial condition Multiple convergence points, depending on the initial condition a b c Unstable fixed point

ISPD 2002Pinhong Chen, et al.19 Tightening Bounds If the initial condition starts from the maximum switching windows, the fixed point iteration monotonically shrinks the switching windows in the subsequent passes. If the initial condition starts from the maximum switching windows, the fixed point iteration monotonically shrinks the switching windows in the subsequent passes. –Proof by induction –Each pass is still an upper bound Lower bound (no noise) Upper bound (max noise)

ISPD 2002Pinhong Chen, et al.20 Growing Lower Bounds If the initial condition starts from the minimum switching windows, the fixed point iteration monotonically grows the switching windows in the subsequent passes. If the initial condition starts from the minimum switching windows, the fixed point iteration monotonically grows the switching windows in the subsequent passes. –Proof by induction –Can obtain the tightest bound when converged Lower bound (no noise) Upper bound (max noise)

ISPD 2002Pinhong Chen, et al.21 Proof of Convergence Starting from the minimum switching windows, the fixed point iteration monotonically grows the switching windows in the subsequent passes. Starting from the minimum switching windows, the fixed point iteration monotonically grows the switching windows in the subsequent passes. Switching windows have an upper bound. Switching windows have an upper bound. Lower bound (no noise) Upper bound (max noise)

ISPD 2002Pinhong Chen, et al.22 Decreasing Portion in Arrival Time Function Aggressor a b A decreasing portion makes the iteration oscillate.

ISPD 2002Pinhong Chen, et al.23 Non-Monotone Property Reducing a gate delay may increase the total path delay due to noise Reducing a gate delay may increase the total path delay due to noise Aggressor Victim

ISPD 2002Pinhong Chen, et al.24 Discrete Overlapping Model 1.0 Delta delay = Maximum delta delay of victim net i due to aggressor j Overlapping function No noise Maximum noise Step function

ISPD 2002Pinhong Chen, et al.25 Discrete Overlapping Model (cont’d) Easier to converge Easier to converge –Compared with continuous models –Complexity, where N is the number of nets, and M is the maximum number of aggressors of any net. The convergence point is an upper bound of the continuous model The convergence point is an upper bound of the continuous model The latest arrival time functions are discontinuous The latest arrival time functions are discontinuous

ISPD 2002Pinhong Chen, et al.26 Conclusion Numerical formulation can easily explain a variety of properties of switching windows convergence Numerical formulation can easily explain a variety of properties of switching windows convergence Switching window computation can be well-controlled by careful selection of the underlying models Switching window computation can be well-controlled by careful selection of the underlying models