Voltus IC Power Integrity Solution Break-through in Power Signoff

Slides:



Advertisements
Similar presentations
18 July 2001 Work In Progress – Not for Publication 2001 ITRS Test Chapter ITRS Test ITWG Mike Rodgers Don Edenfeld.
Advertisements

Embedded Systems Design: A Unified Hardware/Software Introduction 1 Chapter 10: IC Technology.
Practical Aspects of Reliability Analysis for IC Designs T. Pompl, C. Schl ü nder, M. Hommel, H. Nielen, J. Schneider.
© 2014 Synopsys. All rights reserved.1 Wheres my glass slipper? TAU 2014 Nanda Gopal Director R&D, Characterization.
RAPID Memory Compiler Evaluation by David Artz
Supply Voltage Noise Aware ATPG for Transition Delay Faults Nisar Ahmed and M. Tehranipoor University of Connecticut Vinay Jayaram Texas Instruments, TX.
Keeping Hot Chips Cool Ruchir Puri, Leon Stok, Subhrajit Bhattacharya IBM T.J. Watson Research Center Yorktown Heights, NY Circuits R-US.
© 2015 Synopsys, Inc. All rights reserved.1 Timing Analysis in a Mixed Signal World TAU Workshop Panel Session Jim Sproch March 12, 2015.
Anjali Supekar *, Mohita Batra *, Rakesh Gulati *, Shahabuddin Qureshi °, Hina Mushir #, Prashant Pandey #, Samant Paul °, Seema Jaiswal ° * Automation.
1 Closed-Loop Modeling of Power and Temperature Profiles of FPGAs Kanupriya Gulati Sunil P. Khatri Peng Li Department of ECE, Texas A&M University, College.
Power Integrity Analysis and Optimization in the Substrate Design Harini M, Zakir H, Sukumar M.
Institute of Digital and Computer Systems 1 Fabio Garzia / Finding Peak Performance in a Process23/06/2015 Chapter 5 Finding Peak Performance in a Process.
Temperature-Aware Design Presented by Mehul Shah 4/29/04.
1 Reconfigurable ECO Cells for Timing Closure and IR Drop Minimization TingTing Hwang Tsing Hua University, Hsin-Chu.
Dynamic Power Consumption In Large FPGAs WILLIAM GARCIA, ANDREW MORTELLARO.
Signal Integrity Methodology on 300 MHz SoC using ALF libraries and tools Wolfgang Roethig, Ramakrishna Nibhanupudi, Arun Balakrishnan, Gopal Dandu Steven.
Hierarchical Physical Design Methodology for Multi-Million Gate Chips Session 11 Wei-Jin Dai.
2013 DAC Designer/User Track Presentation Inductor Design for Global Resonant Clock Distribution in a 28-nm CMOS Processor Visvesh Sathe 3, Padelis Papadopoulos.
Hitendra Divecha Sr. Product Marketing Manager SSV Summit November 21 st, 2013 QRC Extraction.
University of California San Diego
TM Efficient IP Design flow for Low-Power High-Level Synthesis Quick & Accurate Power Analysis and Optimization Flow JAN Asher Berkovitz Yaniv.
Power Reduction for FPGA using Multiple Vdd/Vth
Research on Analysis and Physical Synthesis Chung-Kuan Cheng CSE Department UC San Diego
Are classical design flows suitable below 0.18  ? ISPD 2001 NEC Electronics Inc. WR0999.ppt-1 Wolfgang Roethig Senior Engineering Manager EDA R&D Group.
Fast & Furious: Taming the Challenges of Advanced-Node Design Anirudh Devgan, Senior Vice President, Digital & Signoff Group.
ECO Methodology for Very High Frequency Microprocessor Sumit Goswami, Srivatsa Srinath, Anoop V, Ravi Sekhar Intel Technology, Bangalore, India Introduction.
CAD for Physical Design of VLSI Circuits
UC San Diego / VLSI CAD Laboratory Toward Quantifying the IC Design Value of Interconnect Technology Improvement Tuck-Boon Chan, Andrew B. Kahng, Jiajia.
On-chip power distribution in deep submicron technologies
Open Discussion of Design Flow Today’s task: Design an ASIC that will drive a TV cell phone Exercise objective: Importance of codesign.
Sigrity, Inc © Efficient Signal and Power Integrity Analysis Using Parallel Techniques Tao Su, Xiaofeng Wang, Zhengang Bai, Venkata Vennam Sigrity,
Sogang University Advanced Computing System Chap 1. Computer Architecture Hyuk-Jun Lee, PhD Dept. of Computer Science and Engineering Sogang University.
A New Method For Developing IBIS-AMI Models
CSE 494: Electronic Design Automation Lecture 2 VLSI Design, Physical Design Automation, Design Styles.
Statistical Sampling-Based Parametric Analysis of Power Grids Dr. Peng Li Presented by Xueqian Zhao EE5970 Seminar.
A Power Grid Analysis and Verification Tool Based on a Statistical Prediction Engine M.K. Tsiampas, D. Bountas, P. Merakos, N.E. Evmorfopoulos, S. Bantas.
© 2012 xtUML.org Bill Chown – Mentor Graphics Model Driven Engineering.
XIAOYU HU AANCHAL GUPTA Multi Threshold Technique for High Speed and Low Power Consumption CMOS Circuits.
Modern VLSI Design 4e: Chapter 3 Copyright  2008 Wayne Wolf Topics n Pseudo-nMOS gates. n DCVS logic. n Domino gates. n Design-for-yield. n Gates as IP.
Continuum Simulation for Power Integrity Analysis Raj Nair Dec. 16, 2010.
SSV Summit November 2013 Cadence Tempus™ Timing Signoff Solution.
NUMERICAL TECHNOLOGIES, INC. Assessing Technology tradeoffs for 65nm logic circuits D Pramanik, M Cote, K Beaudette Numerical Technologies Inc Valery Axelrad.
An accurate and efficient SSO/SSN simulation methodology for 45 nm LPDDR I/O interface Dr. Souvik Mukherjee, Dr. Rajen Murugan (Texas Instruments Inc.)
CHAPTER 8 Developing Hard Macros The topics are: Overview Hard macro design issues Hard macro design process Physical design for hard macros Block integration.
1 Interconnect/Via. 2 Delay of Devices and Interconnect.
Distributed Computation: Circuit Simulation CK Cheng UC San Diego
Basics of Energy & Power Dissipation
Enabling System-Level Modeling of Variation-Induced Faults in Networks-on-Chips Konstantinos Aisopos (Princeton, MIT) Chia-Hsin Owen Chen (MIT) Li-Shiuan.
Bi-CMOS Prakash B.
Power Integrity Test and Verification CK Cheng UC San Diego 1.
By Nasir Mahmood.  The NoC solution brings a networking method to on-chip communication.
PowerMixer IP : IP-Level Power Modeling for Processors Shan-Chien Fang 1 Jia-Lu Liao 2 Chen-Wei Hsu 2 Chia-Chien Weng 2 Shi-Yu Huang 2 Wen-Tsan Hsieh 3.
High Performance, Multi-CPU Power Signoff for Mega Designs
Dept. of Electronics Engineering & Institute of Electronics National Chiao Tung University Hsinchu, Taiwan ISPD’16 Generating Routing-Driven Power Distribution.
CS203 – Advanced Computer Architecture
Bharath Kumar Poluri, Atul Ramakant Lele, Aswani Kumar Golla, Lakshmanan Balasubramanian Texas Instruments (India) Pvt. Ltd. 1 Fully automated interface.
I N V E N T I V EI N V E N T I V E Can innovations in Test serve as a beacon of light in a dark economy? Sanjiv Taneja VP and GM, Encounter Test.
Copyright © 2009, Intel Corporation. All rights reserved. Power Gate Design Optimization and Analysis with Silicon Correlation Results Yong Lee-Kee, Intel.
MICROPROCESSOR DESIGN1 IR/Inductive Drop Introduction One component of every chip is the network of wires used to distribute power from the input power.
Thermal Aware EM Computation
Top-level Schematics Digital Block Sign-off Digital Model of Chip
ITRS Roadmap Design Process Open Discussion EDP 2001
Chapter 10: IC Technology
Overview of VLSI 魏凱城 彰化師範大學資工系.
Chapter 10: IC Technology
HIGH LEVEL SYNTHESIS.
Chapter 10: IC Technology
Analog Senior Projects 2019
Electromagnetic Crosstalk Analysis and Sign-off For Advanced Node SoCs
Presentation transcript:

Voltus IC Power Integrity Solution Break-through in Power Signoff Jerry Zhao Product Marketing Director Power Sign-off Products, SSV Nov 21st, 2013

Agenda 1. Introduction – Challenges and Cadence Solution 2. Voltus - Performance, Capacity and Accuracy 3. Voltus and Design Closure 4. Summary

Design Challenges in Mobile Computing Era Mobile Devices Require Low Power Extending battery life Increasing device reliability Design Complexity Increases in Low Power ICs Advanced design techniques Increasing IP content and functionality Tighter design margins Power Integrity is Critical for Successful Design Signoff Occurs late in the design cycle Impacts timing and physical design closure Complexity in Power Signoff Grows as Design Complexity Increases

Power Solutions Have not Kept Pace with Designer’s Requirements Time Required for Power Analysis is Increasing due to Growing design complexity and size More complex analysis requirements Current Solutions don’t Consider the Impact of Power on Timing Closure New Challenges in 3DIC Technology Thermal breakdown Complete power integrity analysis from chip to package to system Designers Require New Technologies for Power Signoff

What Did Cadence Announce in Nov. 12th? Voltus™ IC Power Integrity Solution Break-through technology in power integrity analysis and signoff Up to 10X faster performance over existing solutions Most accurate electrical design signoff and closure solution Industry’s 1st power integrity tool integrated with static timing analysis Integration with Cadence digital & analog, package & board, and system level solutions for fast design closure TSMC 16nm FinFET Certification Customer Endorsements Freescale Semiconductor Integrated Device Technology Tilera Fastest Path to Design Signoff

The Voltus IC Power Integrity Solution Massively parallel execution Scalability across computer network Hierarchical analysis PERFORMANCE Early grid analysis in implementation Physically-aware grid optimization Chip and system co-design analysis CLOSURE SPICE-like accuracy Integrated power and timing signoff Foundry certification on advanced nodes ACCURACY

A Glance at Voltus Key Functionalities Power Calculation IR-Drop & EM De-Cap Power Switch IR-Aware STA (Tempus) Early Rail Analysis (EDI) Chip-PKG-PCB (Sigrity) Performance Accuracy Capacity Integration

Voltus Technology Advantages Performance, Capacity and Accuracy

Voltus - Exceptional Performance Innovation Through Parallelization Massively Parallel Execution Multi-threaded Distributed Processing Extra High Capacity Innovative hierarchical analysis Capacity up to 1B instances No Loss of Accuracy SPICE-accurate rail matrix solver Accurate power grid RC extraction Accurate instance power distribution … Voltus Massively Parallel Architecture Offers up to 10X Better Performance

Voltus Capacity Enablement Hierarchical Analysis Hierarchical methodology Block level power grid network captured by Power-Grid-View modeling (H-PGV) Top level circuitry combined with these H-PGVs to form a complete grid network “Top-down” or “Bottom-up” flows Targeting designs with 100+ million instances Silicon successes on 400+ M instances designs Capacity up to 1 Billion instances Productivity gains without loss of accuracy Performance improvement up to 4X vs. “flat” on large designs ECO flow at block level to fix EMIR issues through decap optimization, etc Hierarchical Analysis Flow Blk1 Power Grid Analysis ……… Blk N Power Grid Analysis H-PGV H-PGV H-PGV Top-level (Hierarchical Data) ECO Full Chip Power Signoff Analysis Accuracy Comparison 28mV (VDD) 27mV (VDD) 9mV (VSS) 9mV (VSS) Flat Hierarchical

Voltus Accuracy Foundations Advanced Algorithm Full scale SPICE-level PG matrix Grid simulation from SPICE engine Advanced parallel execution No loss of accuracy with multi-CPU Accurate power calculation Liberty, activity, signal propagation Embedded Cadence Sign-off Tools QRC: RC Extraction field solver accuracy on PG nets Tempus: Static Timing Signal propagation, timing window Spectre APS: Transistor Simulation PGV modeling, LDO Co-Sim Accurate IP Modeling Power-Grid-View (PGV) Cell intrinsic coupling cap PG extraction shown visibility inside Current char for multi-mode macro ECSM-Power SPICE-level current vs. NLPM Strong Eco-System Support TSMC Certification N16 FinFET & Design Reference Flow IP Suppliers on PGV library TSMC, Samsung, ARM EM Rules Support “qrcTechFile” format

TSMC 16nm FinFET Certification Recently certified by TSMC on 16nm FinFET (v0.5) TSMC OIP: San Jose, 10/1/2013 Both gate level (Voltus) and transistor level (VPS) FinFET stresses ElectroMigration Increased power density Reduced wire width Vertical current directions What does certification cover ? Power EM and Signal EM EM rules and accuracy IR-Drop accuracy What do EM rules include? Current direction Width/length dependencies Temperature rating factors : Cload charge discharge AC Current DC Current Power EM (AVG current)

Industry 1st Integrated Solution for Power and Timing Signoff Voltus – Accurate Electrical Signoff Next-generation, Unified Solution in Voltus + Tempus Power Integrity Directly Affects Timing Timing is most sensitive to power supply (VDD) Current segmented, point tool based solutions from multiple vendors are less accurate and cumbersome Leads to guard banding which increases pessimism in Static Timing Voltus + Tempus: New, Unified Signoff Solution Simultaneous power and timing analysis and closure Increases accuracy in STA by up to 3% Reduces timing pessimism More realistic voltage drop across the chip and its affect on timing Industry 1st Integrated Solution for Power and Timing Signoff

Voltus and Design Closure Power Grid Analysis, Optimization, ECO Flow, ….

Voltus – Fast Design Closure Complete Design Flow from Chip to System Tight Integration with IC Physical Implementation Early rail analysis & ECO: during power planning stages De-cap & ECO: IR-drop and leakage reduction Power gate switching& ECO: rush current, turn-on time Encounter Signoff Ecosystem Tempus APS Virtuoso Palladium Sigrity Chip-package-PCB Co-Simulation and Analysis Accurate power grid networks for chip and board Electrical-Thermal analysis 3DIC support, including CoWoS (2.5D) Productivity Improvements in IC Design Closure and System Design

Fully Places Instances (Power Calc and Distribution) Early Rail Analysis Bringing Power Grid Analysis and Design to Floorplanning Stage 3 Amp (PWL) 2 Amp (avg) 1Amp Fully Places Instances (Power Calc and Distribution) 0.4A EDI Floorplanning Std. Cell Placement CTS/Optimization Signal Routing ERA at Various Stage Voltus Engine Up to 10% vs. Sign off accuracy Flexible power-constraints specifications Interactive current region specification on not placed blocks Power calculation on place and/or routed blocks Early feedback for more efficient power grid network optimization Power grid width, straps, vias, epeats, spacing and IO/bump locations Power switch analysis to refine size and placement High Quality Power Grid Implementation for Faster Singoff Convergence

Logic/power rail within block Power Gate Switching Analysis & Optimization Switches, Rush Current and EDI ECO Logic/power rail within block Always-on VDD rail Switched VDD rail VSS rail ON Power Ramp-up OFF Ron Coarse-grain Up to 100’s of power domains Coarse-grain or fine-grain switches Comprehensive ramp-up sequence Steadystate analysis (static, dynamic) ON: switches impacts on power/EMIR OFF: power/leakage savings Power ramp-up analysis Rush current and turn-on time Impacting IR-Drop to surrounding blocks Power switch optimization Switches: sizes, numbers, locations ECO in EDI: upsizing, downsizing, or more switches inserted

De-coupling Cap Optimization Size and location of de-caps De-coupling caps and power grid Reducing localized dynamic IR-drop Adding leakage, slowing down recovery Calculating de-cap value User-defined voltage thresholds The required Q to compensate IR-drop Placement-aware de-cap optimization A universal voltage threshold Swapping filler cell with de-cap cell Timing-aware de-cap optimization A tighter voltage threshold for critical paths De-cap cells for no timing violation De-cap removal and ECO flows in EDI Optimization

Dynamic Power Analysis Voltus Vector-based EMIR Voltus Advantage - Palladium Dynamic Power Analysis Real-world, Application-specific Stimulus VCD/TCF/FSDB Palladium® Dynamic Power Analysis Voltus Vector-based EMIR Power time Deep Cycle ‘What if’ Budget Palladium® Dynamic Power Analysis (DPA) Real test environment for realistic stimulus generation Deep cycle dynamic power analysis to identify peak power windows for the chip Output chip activities in zero-delay (VCD/TCF/FSDB) format Vector-based Voltus IR-drop and EM analysis Annotating TWF into DPA output to generate vectors with delays for Voltus Power vector profiling and peak power window power signoff Why consider DPA in Palladium? Users get a real test environment that provides more realistic stimulus to DUT – including longer executions that we call “Deep cycles”. As a result they get more accurate low power analysis results compared to simulation DPA is tightly integrated with RC for very accurate analysis – RC and EPS deliver the low level estimates that are accumulated by DPA at higher levels All this results in early access to Power Analysis within the RTL flow. It helps to identify architectural issues and offers an easy to use flow for analyzing power impacts of Software The main reason that hardware is used is that it provides fast time to power estimation results - weeks in simulation vs. hours with DPA  TI(Nice) reported 96% correlation. Well above 90% Accuracy Correlation with Silicon Measurement

Complete Cadence Power Integrity Solutions Full-chip Power Integrity Analysis for all Designs Voltus Virtuoso “Voltus” Full-chip Spectre APS/XPS Power Grid Views PGV “Voltus” Block & IP Virtuoso Power System (Transistor) The slide shows how the Voltus enables power integrity analysis for digital, custom and mixed signal designs On the left, the Voltus enables hierarchical power and power rail analysis, which allows us to efficiently support massive digital designs that are currently being created today. The key to this solution is the use of Power Grid Views, which are abstracted model of cells or blocks within the design. The Voltus is tightly integrated with the Encounter platform for digital implementation, which enables our customers with significant ease-of-use and efficiency improvements over competitive standalone solutions For custom designs created in Virtuoso, the Virtuoso enables transistor-level power rail and electromigration analysis, together with electromigration verification for signal nets. Once a block has been analyzed using Virtuoso Power System, a Power Grid View of the block can be created, which enables hierarchical, full-chip power analysis in Voltus for mixed signal SoC designs that include both digital and custom components Digital (SoC) IR drop and EM Custom/Analog IR Drop & EM Mixed Signal Accurate Transistor EMIR Analysis, High Quality Analog IP Grid Modeling

Chip-Package-Board Varieties Chip-Package-Board Co-Simulation Integrated Voltus and Sigrity Design Flows 2D 2.5D (Si Interposer) 3D (TSV) Chip-Package-Board Varieties Sigrity package model generation XtractIM: broadband SPICE format PowerSI: S-parameter format Voltus die model generation Broadband SPICE format Frequency and time domains Single-port and N-port (up to 100’s) Sigrity MCP interface Model Connection Protocol Name- based or location-based Complete power integrity solutions Chip: Voltus + package model System: PowerDC + die model Voltus Sigrity Package Model Die-Model

Electrical-Thermal Co-Simulation Power Temperature Leakage Chip-PKG-PCB Temperature Temperature Map Power Map Voltus PowerDC PKG PCB Chip 3 Chip 2 Chip 1 Thermal Co-Simulation Thermal Runaway Positive feedback among chip’s Temperature, leakage, and power dissipation Temperature dependent IR-drop and EM Thermal Simulation in “Voltus + PowerDC” Voltus output: temperature and location dependent “Power Map” file PowerDC computes detailed temperature distribution for Chip-PKG-PCB (T vs. time) Voltus reads back “Temperature Map” file for EMIR convergence Thermal view available in 2D/3D

Voltus – The New Standard in Power Signoff In Summary Voltus Accelerates Design Closure and Signoff Up to 10X faster than competing solutions Up to 1 billion instances in capacity Voltus and Tempus are integrated for more accurate electrical design closure Integration with other Cadence tools for fast and complete design closure Cadence Solves the Design Complexity Challenges in Power Signoff Enabling designers to meet their targets Enhanced performance, accuracy and design closure Voltus – The New Standard in Power Signoff