H a r d w a r e M o d e l i n g O v e r v i e w

Slides:



Advertisements
Similar presentations
Digital System Design Subject Name : Digital System Design Course Code : IT-314.
Advertisements

TOPIC : SYNTHESIS DESIGN FLOW Module 4.3 Verilog Synthesis.
ENEL111 Digital Electronics
OBJECTIVES Learn the history of HDL Development. Learn how the HDL module is structured. Learn the use of operators in HDL module. Learn the different.
Introduction To VHDL for Combinational Logic
Digital Design with VHDL Presented by: Amir Masoud Gharehbaghi
Lecture #4 Page 1 ECE 4110–5110 Digital System Design Lecture #4 Agenda 1.VHDL History 2.Design Abstraction Announcements 1.n/a.
The Design Process Outline Goal Reading Design Domain Design Flow
VHDL Intro What does VHDL stand for? VHSIC Hardware Description Language VHSIC = Very High Speed Integrated Circuit Developed in 1982 by Govt. to standardize.
Digital System Design Verilog ® HDL Maziar Goudarzi.
(1) Introduction © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
© 2011 Xilinx, Inc. All Rights Reserved This material exempt per Department of Commerce license exception TSU Xilinx Tool Flow.
GOOD MORNING.
(1) Modeling Digital Systems © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
Design methodology.
VHDL Structured Logic Design School of Electrical Engineering University of Belgrade Department of Computer Engineering Ivan Dugic Veljko.
Verilog Digital System Design Z. Navabi, McGraw-Hill, 2005
IAY 0600 Digitaalsüsteemide disain Event-Driven Simulation Alexander Sudnitson Tallinn University of Technology.
1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :
ASIC/FPGA design flow. FPGA Design Flow Detailed (RTL) Design Detailed (RTL) Design Ideas (Specifications) Design Ideas (Specifications) Device Programming.
Design Verification An Overview. Powerful HDL Verification Solutions for the Industry’s Highest Density Devices  What is driving the FPGA Verification.
Hardware Design Environment Instructors: Fu-Chiung Cheng ( 鄭福炯 ) Associate Professor Computer Science & Engineering Tatung University.
1 H ardware D escription L anguages Modeling Digital Systems.
COE 405 Design and Modeling of Digital Systems
Language Concepts Ver 1.1, Copyright 1997 TS, Inc. VHDL L a n g u a g e C o n c e p t s Page 1.
Introductory project. Development systems Design Entry –Foundation ISE –Third party tools Mentor Graphics: FPGA Advantage Celoxica: DK Design Suite Design.
Electrical and Computer Engineering University of Cyprus LAB 1: VHDL.
Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Topics Modeling with hardware description languages (HDLs).
Modern VLSI Design 3e: Chapter 8 Copyright  1998, 2002 Prentice Hall PTR Topics n Modeling with hardware description languages (HDLs).
IMPLEMENTATION OF MIPS 64 WITH VERILOG HARDWARE DESIGN LANGUAGE BY PRAMOD MENON CET520 S’03.
1 Copyright  2001 Pao-Ann Hsiung SW HW Module Outline l Introduction l Unified HW/SW Representations l HW/SW Partitioning Techniques l Integrated HW/SW.
ELEE 4303 Digital II Introduction to Verilog. ELEE 4303 Digital II Learning Objectives Get familiar with background of HDLs Basic concepts of Verilog.
Apr. 3, 2000Systems Architecture I1 Introduction to VHDL (CS 570) Jeremy R. Johnson Wed. Nov. 8, 2000.
VHDL ELEC 311 Digital Logic and Circuits Dr. Ron Hayne Images Courtesy of Cengage Learning.
May 9, 2001Systems Architecture I1 Systems Architecture I (CS ) Lab 5: Introduction to VHDL Jeremy R. Johnson May 9, 2001.
FPGA-Based System Design Copyright  2004 Prentice Hall PTR Topics n Modeling with hardware description languages (HDLs).
ASIC/FPGA design flow. Design Flow Detailed Design Detailed Design Ideas Design Ideas Device Programming Device Programming Timing Simulation Timing Simulation.
EECE 320 L8: Combinational Logic design Principles 1Chehab, AUB, 2003 EECE 320 Digital Systems Design Lecture 8: Combinational Logic Design Principles.
An Overview CS341 Digital Logic and Computer Organization F2003.
1 A hardware description language is a computer language that is used to describe hardware. Two HDLs are widely used Verilog HDL VHDL (Very High Speed.
SUBJECT : DIGITAL ELECTRONICS CLASS : SEM 3(B) TOPIC : INTRODUCTION OF VHDL.
EMT 351/4 DIGITAL IC DESIGN Week # 1 EDA & HDL.
IAY 0600 Digital Systems Design
Introduction to design with VHDL
Programmable Hardware: Hardware or Software?
ASIC Design Methodology
Systems Architecture Lab: Introduction to VHDL
Digital System Design An Introduction to Verilog® HDL
IAY 0600 Digitaalsüsteemide disain
Design Entry: Schematic Capture and VHDL
ECE 4110 – Digital Logic Design
B e h a v i o r a l to R T L Coding
Topics Modeling with hardware description languages (HDLs).
ECE 551: Digital System Design & Synthesis
IAY 0600 Digital Systems Design
Topics The logic design process..
Topics Modeling with hardware description languages (HDLs).
Programmable Logic Devices: CPLDs and FPGAs with VHDL Design
Hardware Description Languages
IAY 0800 Digitaalsüsteemide disain
Lecture 18 X: HDL & VHDL Quick Recap
ECE-C662 Introduction to Behavioral Synthesis Knapp Text Ch
ECE 699: Lecture 3 ZYNQ Design Flow.
VHDL Introduction.
HIGH LEVEL SYNTHESIS.
Hardware Modeling & Synthesis Using VHDL
THE ECE 554 XILINX DESIGN PROCESS
Digital Designs – What does it take
Chapter 10 Introduction to VHDL
THE ECE 554 XILINX DESIGN PROCESS
Presentation transcript:

H a r d w a r e M o d e l i n g O v e r v i e w V H D L H a r d w a r e M o d e l i n g O v e r v i e w Page 1

Objective Introduction to VHDL Topics include What is VHDL ? Hardware Modeling Synthesis Tools and Platforms Levels of Abstraction Page 2

What is VHDL ? An acronym within an acronym, VHDL stands for VHSIC Hardware Description Language. Meanwhile VHSIC stands for Very High Speed Integrated Circuit. With that, we begin to understand both the origin and the intent of the language. Page 3

Formalization of VHDL The IEEE formally adopted the language as a standard, ratifying it in 1987, IEEE 1076. Like any IEEE standard there is a minimum five year period for modifications to the original. This actually occurred in 1993 and “VHDL-93” is now the official version of the language, however most tools provide support for older modules (VHDL-87) and some are simply still catching up. Page 4

Understanding the Intent ? Given the inauspicious origin of the language and standard, it’s worth noting that VHDL is first and foremost, a tool for hardware modeling- - that is to say “simulation” as opposed to “synthesis”. The IEEE 1076 standard is exhaustive with respect to modeling, but defines only broad parameters for synthesis. The result - - a given hardware module does not necessarily lend itself to a consistent and universal gate-level implementation across various tools and target technologies. Page 5

Language Subsets IEEE 1076 (modeling) IEEE 1076 (synthesis) Not all VHDL constructs are synthesizable. For example, “wait for 10 ns” is a common modeling construct, but does not generate any corresponding gate-level component. Page 6

N P Z “None Portability Zone” Still More Subsets Tool & Vendor Specific IEEE 1076 (synthesis) IEEE 1076 (modeling) N P Z “None Portability Zone” Avoid extensive use of compiler specific constructs and directives that are outside of standard VHDL Page 7

Levels of Abstraction f Behavioral RTL Logic Layout Fewer details, Faster design entry and simulation f Behavioral RTL AND_OR2 DFF Logic Technology specific details, slower design entry and simulation Layout CLB_R5C5 CLB_R5C6 Page 8

Overlapping Levels Behavioral RTL Logic Layout Simulation (behavioral verification only) Behavioral Hardware Model Some code may overlap RTL Synthesizable Code Code with library specific instantiations Logic Schematic Editor Post synthesis, tool specific Layout Place & Route Utility Page 9

Inference Vs. Instantiation Given that synthesis and implementation are implicitly tool and technology dependent, there often exist a need to trade-off maximum code portability against design optimization in a target technology. component ADSUB8 port ( … ...end component This is in effect a direct call to a library macro within the target technology. Device optimization is achieved. Z <= A + B ; The “+” operator infers that an generic Adder be built, without consideration of device level optimization. Code portability is maintained. Page 10

Special Resources If the target technology has dedicated and or special resources, the challenge of device level optimization becomes even greater. Different tools have different capabilities. Tool Vendor A ( technology specific algorithms, attributes compiler directives) Vendor B ( technology specific algorithms, attributes compiler directives) Tool Vendor C ( technology specific algorithms, attributes compiler directives) Page 11

Design Verification VHDL modules V I T A L Synthesis Place & Route When using an HDL entry method, there is additional level of design verification available. VHDL modules Behavioral Simulation ( Test-bench driven ) V I T A L Synthesis Gate-Level Functional ( Netlist-Driven ) Place & Route VHDL Initiative Toward ASIC Libraries Gate-Level Timing ( Back-Annotated Netlist ) Page 12

Why Verify? (Behaviorally) Test-Bench Behavioral Module - Chip Level - Board Level - Std Parts Model - Model Bus Operation - Discrete Event Driven - Flexibility over strictly net-list driven FPGA MCU Memory PLD Page 13

Summary VHDL is a language for Hardware Modeling. Logic synthesis is a subset of the total language. Tool and technology issues will affect synthesis. Technology specific resources may require instantiation. A behavioral model can include detailed timing. Page 14

Questions What does the “V” in VHDL stand for ? What are four generally recognized “levels of abstraction” ? What is the difference between inferring and instantiating ? In using an HDL design entry, what are three possible stages of design verification ? What is the proposed benefit of VITAL compliant tools ? Page 15