Leakage Power Reduction Techniques

Slides:



Advertisements
Similar presentations
MICROWAVE FET Microwave FET : operates in the microwave frequencies
Advertisements

Leakage and Dynamic Glitch Power Minimization Using MIP for V th Assignment and Path Balancing Yuanlin Lu and Vishwani D. Agrawal Auburn University ECE.
Yuanlin Lu Intel Corporation, Folsom, CA Vishwani D. Agrawal
CMOS Circuit Design for Minimum Dynamic Power and Highest Speed Tezaswi Raja, Dept. of ECE, Rutgers University Vishwani D. Agrawal, Dept. of ECE, Auburn.
Aug 23, ‘021Low-Power Design Minimum Dynamic Power Design of CMOS Circuits by Linear Program Using Reduced Constraint Set Vishwani D. Agrawal Agere Systems,
Copyright Agrawal, 2007 ELEC6270 Fall 07, Lecture 7 1 ELEC 5270/6270 Fall 2007 Low-Power Design of Electronic Circuits Gate-Level Power Optimization Vishwani.
9/08/05ELEC / Lecture 51 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Nov. 8, 001Low-Power Design Digital Circuit Design for Minimum Transient Energy Vishwani D. Agrawal Circuits and Systems Research Lab, Agere Systems (Bell.
9/20/05ELEC / Lecture 81 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
May 28, 2003Minimum Dynamic Power CMOS1 Minimum Dynamic Power CMOS Circuits Vishwani D. Agrawal Rutgers University, Dept. of ECE Piscataway, NJ 08854
Jan. 2007VLSI Design '071 Statistical Leakage and Timing Optimization for Submicron Process Variation Yuanlin Lu and Vishwani D. Agrawal ECE Dept. Auburn.
Fall 2006, Sep. 26, Oct. 3 ELEC / Lecture 7 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits Dynamic Power:
Fall 06, Sep 14 ELEC / Lecture 5 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits (Formerly ELEC / )
Copyright Agrawal & Srivaths, 2007 Low-Power Design and Test, Lecture 5 1 Low-Power Design and Test Gate-Level Power Optimization Vishwani D. Agrawal Auburn.
Modern VLSI Design 3e: Chapter 2 Copyright  1998, 2002 Prentice Hall PTR Topics n Derivation of transistor characteristics.
Lecture 2 Chapter 2 MOS Transistors. Voltage along the channel V(y) = the voltage at a distance y along the channel V(y) is constrained by the following.
EE466: VLSI Design Power Dissipation. Outline Motivation to estimate power dissipation Sources of power dissipation Dynamic power dissipation Static power.
MOS Capacitors MOS capacitors are the basic building blocks of CMOS transistors MOS capacitors distill the basic physics of MOS transistors MOS capacitors.
Norhayati Soin 06 KEEE 4426 WEEK 7/1 6/02/2006 CHAPTER 2 WEEK 7 CHAPTER 2 MOSFETS I-V CHARACTERISTICS CHAPTER 2.
Ashley Brinker Karen Joseph Mehdi Kabir ECE 6332 – VLSI Fall 2010.
Introduction to FinFet
Robust Low Power VLSI ECE 7502 S2015 On Effective IDDQ Testing of Low-Voltage CMOS Circuits Using Leakage Control Techniques ECE 7502 Class Discussion.
Jia Yao and Vishwani D. Agrawal Department of Electrical and Computer Engineering Auburn University Auburn, AL 36830, USA Dual-Threshold Design of Sub-Threshold.
Short Channel Effects in MOSFET
HW (Also, use google scholar to find one or two well cited papers on symmetric models of MOSFET, and quickly study them.)
HO #3: ELEN Review MOS TransistorsPage 1S. Saha Long Channel MOS Transistors The theory developed for MOS capacitor (HO #2) can be directly extended.
The Devices: MOS Transistor
UNIT II : BASIC ELECTRICAL PROPERTIES
Chapter 6 The Field Effect Transistor
Smruti R. Sarangi IIT Delhi
Chapter 3 Fabrication, Layout, and Simulation.
Chapter 2 MOS Transistors.
MOS Field-Effect Transistors (MOSFETs)
Recall Last Lecture Common collector Voltage gain and Current gain
DMT 241 – Introduction to IC Layout
Metal Semiconductor Field Effect Transistors
VLSI design Short channel Effects in Deep Submicron CMOS
Introduction to Metal-Oxide-Semiconductor Field Effect Transistors (MOSFETs) Chapter 7, Anderson and Anderson.
6.3.3 Short Channel Effects When the channel length is small (less than 1m), high field effect must be considered. For Si, a better approximation of field-dependent.
Downsizing Semiconductor Device (MOSFET)
Reading: Hambley Ch. 7; Rabaey et al. Sec. 5.2
Low Power Design in VLSI
Lecture 16 ANNOUNCEMENTS OUTLINE MOS capacitor (cont’d)
Lecture 19 OUTLINE The MOSFET: Structure and operation
Notes on Diodes 1. Diode saturation current:  
Week 9a OUTLINE MOSFET ID vs. VGS characteristic
Reading: Finish Chapter 17,
Qualitative Discussion of MOS Transistors
Downsizing Semiconductor Device (MOSFET)
ELEC 6970: Low Power Design Class Project By: Sachin Dhingra
University of Colorado at Boulder
MOSFETs - An Introduction
CSV881: Low-Power Design Gate-Level Power Optimization
The MOS Transistors, n-well
Week 9a OUTLINE MOSFET ID vs. VGS characteristic
EMT 182 Analog Electronics I
Semiconductor devices and physics
Lecture #17 (cont’d from #16)
Ph.D. General Oral Examination
Lecture 7: Power.
CP-406 VLSI System Design CMOS Transistor Theory
EXAMPLE 7.1 BJECTIVE Determine the total bias current on an IC due to subthreshold current. Assume there are 107 n-channel transistors on a single chip,
Lecture #15 OUTLINE Diode analysis and applications continued
Lecture 7: Power.
Lecture 4: Nonideal Transistor Theory
Lecture 4: Nonideal Transistor Theory
Lecture #16 OUTLINE MOSFET ID vs. VGS characteristic
Solid State Electronics ECE-1109
Dr. Hari Kishore Kakarla ECE
Chapter 3 Solid-State Diodes and Diode Circuits
Presentation transcript:

Leakage Power Reduction Techniques Yuanlin Lu ECE Dept. Auburn University ELEC 6970

Outline Transistor Leakage Mechanisms Leakage Reduction techniques - Mutli-, dual-, Variable Vth - Dual Power Supply - Transistor Sizing - Transistor Stacking - Optimal Input Vector Selection Proposed Technique - Using ILP to Minimize leakage - Extend ILP to Minimize leakage and Glitch Power together 2019/4/11 ELEC 6970

Transistor Leakage Mechanisms I1 - the reverse-bias pn junction leakage; I2 - the subthreshold leakage; weak inversion conduction current between source and drain in an MOS transistor occurs when gate voltage is below Vth. I3 - the oxide tunneling current; due to the low oxide thickness and the high electric field; I4 - the gate current due to hot-carrier injection; I5 - the GIDL (Gate-Induced Drain Leakage); due to high field effect in the drain junction; I6 - the channel punchthrough current; due to the proximity of the depletion regions of the drain and the source. I2, I5, I6 and are off-state leakage mechanisms; I1 and I3 occur in both ON and OFF states; I4 can occur in the off state, but more typically occurs during the transistor bias states in transition. 2019/4/11 ELEC 6970

Subthreshold Leakage current u0 is the zero bias electron mobility, n is the subthreshold slope coefficient. To decrease Subthreshold current Cox = εox/Tox  Determined by foundry Vgs & Vds  Vdd  dual power supply Vth  dual-Vth, Multi-Vth, Variable Vth W or L  gate sizing Temperature ( VT = KT/q) Cox is the oxide capacitance per unit area, eox is oxide permittivity , silicon 3.9e0 tox is oxide thickness 2019/4/11 ELEC 6970

Outline Transistor Leakage Mechanisms Leakage Reduction techniques - Mutli-, dual-, Variable Vth - Dual Power Supply - Transistor Sizing - Transistor Stacking - Optimal Input Vector Selection Proposed Technique - Using ILP to Minimize leakage - Extend ILP to Minimize leakage and Glitch Power together 2019/4/11 ELEC 6970

Leakage & Delay Increasing Vth can decrease Isub exponentially But, gate delay increase at the same time where α models short channel effects (1.3) When using Vth changing techniques, must consider the tradeoff between leakage reduction and performance reduction Must find the value of a for a specific process 1.3---0.35um? 2019/4/11 ELEC 6970

MTCMOS (Multi-Threshold CMOS) 2019/4/11 ELEC 6970

MTCMOS (cont.) Advantage - Circuit can be modified easily Disadvantages - Affect delay, area - Can only reduce leakage power in standby mode - Not suitable for sequential circuit 2019/4/11 ELEC 6970

VTMOS (Variable Threshold CMOS) Vth0 - zero-substrate-bias value for Vth r - body effect parameter 2ФF - surface potential parameter η - Drain-induced barrier lowering (DIBL) coefficient (0.02-0.1) Using body effect, change Vth In active mode, a zero body bias In standby mode, a deeper reverse body bias, Vth increase Can only reduce leakage power in standby mode 2019/4/11 ELEC 6970

VTMOS (cont.) 2019/4/11 ELEC 6970

Dual Threshold CMOS To maintain performance, all gates on the critical path are assigned low Vth Part of the gates on the non-critical paths are assigned high Vth Disadvantage: Circuit structure sensitive Advantage: Can reduce leakage power in both standby mode and active mode ! 2019/4/11 ELEC 6970

Outline Transistor Leakage Mechanisms Leakage Reduction techniques - Mutli-, dual-, Variable Vth - Dual Power Supply - Transistor Sizing - Transistor Stacking - Optimal Input Vector Selection Proposed Technique - Using ILP to Minimize leakage - Extend ILP to Minimize leakage and Glitch Power together 2019/4/11 ELEC 6970

Dual Power Supply Voltages Vdd  Isub  gate delay Assign Low Vdd to the gates on the non-critical path, to decrease leakage power Assign High Vdd to the gates on the critical path, to maintain performance Originally, for dynamic power reduction 2019/4/11 ELEC 6970

Outline Transistor Leakage Mechanisms Leakage Reduction techniques - Mutli-, dual-, Variable Vth - Dual Power Supply - Transistor Sizing - Transistor Stacking - Optimal Input Vector Selection Proposed Technique - Using ILP to Minimize leakage - Extend ILP to Minimize leakage and Glitch Power together 2019/4/11 ELEC 6970

Transistor Stacking Serious connected ‘off’ Transistors (Transistor Stacking) can reduce leakage current greatly When M1 and M2 are turned off, Vm at the intermediate node is positive due to small drain current. Vgs1 < 0, reduce the subthreshold current substantially. Vbs1 < 0, increase Vth1 (larger body effect) and thus reducing the subthreshold leakage. Vds1 decrease, increase Vth1 Vds2 decrease, increase Vth2 Vm’s value ? reference Vm 2019/4/11 ELEC 6970

Outline Transistor Leakage Mechanisms Leakage Reduction techniques - Mutli-, dual-, Variable Vth - Dual Power Supply - Transistor Sizing - Transistor Stacking - Optimal Input Vector Selection Proposed Technique - Using ILP to Minimize leakage - Extend ILP to Minimize leakage and Glitch Power together 2019/4/11 ELEC 6970

Leakage Dependence on the Input Vector Different Input vector, different leakage current. 00: p1 & p2 on, n1 & n2 off. Ileak 00 = In1 + In2 = 2 * Ileak 01: n1 off. n2 is on and can be treated as shorted, so leakage current of n1 is ignored. p1 is on and p2 is off. Ileak 01 = Ip2 = Ileak 10: the same as the ‘01’ Ileak 10 = Ip1 = Ileak 11: n1 & n2 on. p1 & p2 off. Due to the stacking effect, Ileak 11 < Ileak So, when the input vector is ‘00’, the NOR gate has the maximal leakage current. When the input vector is ‘11’, the NOR gate has the minimum leakage current. The leakage of a two-transistor stack is an order of magnitude less than the leakage in a single transistor 2019/4/11 ELEC 6970

Optimal Input Vectors Selection There must be optimal primary input vectors which lead to the minimum leakage power in the standby mode. For smaller ciruits - Exhaustive Search For larger circuits - Random Search - Genetic algorithm ( exploit historical information to speculate on new search points with expected improved performance to find a near-optimal solution ) Find sth about Genetic algorithm 2019/4/11 ELEC 6970

Outline Transistor Leakage Mechanisms Leakage Reduction techniques - Mutli-, dual-, Variable Vth - Dual Power Supply - Transistor Sizing - Transistor Stacking - Optimal Input Vector Selection Proposed Technique - Using ILP to Minimize leakage - Extend ILP to Minimize leakage and Glitch Power together 2019/4/11 ELEC 6970

Dual Threshold CMOS To maintain performance, all gates on the critical path are assigned low Vth Part of the gates on the non-critical paths are assigned high Vth, to avoid the change from non-critical path to critical path. Disadvantage: Circuit structure sensitive Advantage: Can reduce leakage power in both standby mode and active mode ! 2019/4/11 ELEC 6970

Using ILP (Integer Linear Programming) to Reduce Leakage Power In dual-threshold CMOS process Firstly, assign all gates low Vth Use ILP model 1 to find the delay of the critical path (Tc) Use ILP model 2 to find the optimal Vth assignment as well as the leakage reduction of all gates without increasing Tc Further reduce leakage power by increasing Tc 2019/4/11 ELEC 6970

ILP Raja et al. [16] proposed a technique to reduce dynamic glitch power by a reduced constraint set linear program. We modify their formulation into an integer linear program (ILP) to reduce leakage power. ILP is a mixed ( integer value and continuous values combined together) linear programming 2019/4/11 ELEC 6970

ILP -Variables Each gate has two variables. Ti: the latest time at which the output of gate i can produce an event after the occurrence of an input event at primary inputs of the circuit. Continuous value Xi: the assignment of low or high Vth to gate i; Xi is an integer which can only be 0 or 1. 1  gate i is assigned low Vth; 0  gate i is assigned high Vth. 2019/4/11 ELEC 6970

ILP - objective function - minimize the sum of all gates leakage currents, which is given by ILi is the leakage current of gate i with low Vth; IHi is the leakage current of gate i with high Vth; Each gate’s leakage current can be either ILi or IHi; Using SPICE simulation results, we constructed a leakage current look up table, which is indexed by the gate type and the input vector. 2019/4/11 ELEC 6970

ILP - Constraints Constraints for each gate (1) gate j ‘s output is gate i ‘s fan in (2) Max delay constraints for primary outputs (PO) (3) Tmax can be spec. or the delay of the critical path 2019/4/11 ELEC 6970

ILP – Constraints 1 assume all primary input (PI) signals on the left arrive at the same time. For gate 2, constraints can be given by 2019/4/11 ELEC 6970

ILP – Constraints 1 (cont.) DHi is the delay of gate i with high Vth; DLi is the delay of gate i with low Vth. A second look-up table is constructed and specifies the delay for given gate type and fanout number. 2019/4/11 ELEC 6970

ILP – Constraints 3 Tmax can be spec. or the delay of the critical path (Tc). To find Tc, we change constraints 2 to a equation, which means all gates are assigned low Vth. The maximum Ti given by AMPL CPLEX, is equal to Tc. If we replace Tmax with Tc, the real objection function becomes minimize leakage power without sacrificing any performance. 2019/4/11 ELEC 6970

ILP – Constraints 3 (cont.) If we gradually increase Tmax from the smallest value Tc, more leakage power can be reduced, because more gates on the non-critical path can be assigned high Vth. But, the reduction trend becomes slower. When Tmax = (130%) Tc, the reduction is saturated, because almost all the gates are assigned high Vth, and there is no more optimization space. The maximum leakage reduction can be 98%. Tradeoff between Leakage and Performance 2019/4/11 ELEC 6970

Results-Leakage Reduction Cir. Number of gates Tc (ns) Unoptimized Ileak (μA) Optimized (Tmax= Tc) Leakage Reduction % Sun OS 5.7 CPU secs. Optimized for (Tmax=1.25Tc) Leakage Reduction C432 160 0.751 2.620 1.022 61.0 0.25 0.132 95.0 C499 182 0.391 4.293 3.464 19.3 0.31 0.225 94.8 0.30 C880 328 0.672 4.406 0.524 88.1 0.54 0.153 96.5 0.53 C1355 214 0.403 4.388 3.290 25.0 0.33 0.294 93.3 0.36 C1908 319 0.573 6.023 2.023 66.4 0.57 0.204 96.6 0.56 C2670 362 1.263 5.925 0.659 90.4 0.68 0.125 97.9 C3540 1097 1.748 15.622 0.972 93.8 1.71 0.319 98.0 1.70 C5315 1165 1.589 19.332 2.505 87.1 1.82 0.395 1.83 C6288 1177 2.177 23.142 6.075 73.8 2.07 0.678 97.1 2.00 C7552 1046 1.915 22.043 0.872 96.0 1.59 0.445 1.68 2019/4/11 ELEC 6970

Results-Dynamic & Leakage Comparison VT (thermal voltage, kT/q) and Vth both depend on the temperature, so, leakage current also strongly depends on the temperature. Spice simulation shows that for a 2-input NAND gate - with low Vth, Isub @ 90ºC = 10 * Isub @ 27ºC - with high Vth, Isub @ 90ºC = 20 * Isub @ 27ºC To manifest the projected contribution of leakage to the total power, we compare dynamic and leakage power @ 90ºC. 2019/4/11 ELEC 6970

Results-Dynamic & Leakage Comparison (cont.) Without considering glitches, the dynamic power is estimated by an event driven simulator, and is given by We apply 1000 random test vectors at PIs with the test period equal to (120%)Tc, and calculate the total transition No. in the circuit. 2019/4/11 ELEC 6970

Results-Dynamic & Leakage Comparison (cont. 2) Circuit Pdyn (μW) Pleak1 Pleak1/ Pdyn % Pleak2 Pleak2/ Pdyn % C432 71.17 26.20 36.8 10.22 14.3 C499 149.81 42.93 28.7 34.64 23.1 C880 135.19 44.06 32.6 5.24 3.8 C1355 162.39 43.88 27.0 32.90 20.3 C1908 185.60 60.23 33.4 20.23 10.9 C2670 92.64 59.25 64.0 6.59 7.1 C3540 218.41 156.22 71.5 9.72 4.4 C5315 299.61 193.32 64.6 25.05 8.4 C6288 215.12 231.42 108.0 60.75 28.2 C7552 229.13 220.43 96.2 8.72 2019/4/11 ELEC 6970

Outline Transistor Leakage Mechanisms Leakage Reduction techniques - Mutli-, dual-, Variable Vth - Dual Power Supply - Transistor Sizing - Transistor Stacking - Optimal Input Vector Selection Proposed Technique - Using ILP to Minimize leakage - Extend ILP to Minimize leakage and Glitch Power together 2019/4/11 ELEC 6970

Extend ILP to Minimize leakage and Glitch Power together Fig 1. A circuit with potential glitches Fig 3. Hazard filter effect of high Vth gates. Three black gates are assigned high Vth. Their delays increase accordingly. Only two buffers are needed to eliminate all glitches due to the increased gate delay of high Vth gates. This hazard filter effect is another advantage of dual-Vth reassignment. Fig 2. Inserting buffers in the circuit of Figure 1 to balance the path delays to eliminate all glitches. 2019/4/11 ELEC 6970

Extend ILP to Minimize leakage and Glitch Power together (cont.) The inserted buffers for eliminating glitches consume additional leakage power, so, we may assign high Vth to them. Most of the delay buffers are on non-critical paths and can be assigned high Vth. For a larger circuit, the power saving due to hazard filtering would be significant while power increase due to delay buffers will be small 2019/4/11 ELEC 6970

Future Work Using ILP to minimize leakage and dynamic power simultaneously. Consider transistor sizing to reduce dynamic switching power and leakage power simultaneously. 2019/4/11 ELEC 6970

Thank You All !