Overview Motivation (Kevin) Thermal issues (Kevin)

Slides:



Advertisements
Similar presentations
International Symposium on Low Power Electronics and Design Qing Xie, Mohammad Javad Dousti, and Massoud Pedram University of Southern California ISLPED.
Advertisements

Tunable Sensors for Process-Aware Voltage Scaling
Bridging Theory in Practice Transferring Technical Knowledge to Practical Applications.
1 Power Management for High- speed Digital Systems Tao Zhao Electrical and Computing Engineering University of Idaho.
Power Reduction Techniques For Microprocessor Systems
WATERLOO ELECTRICAL AND COMPUTER ENGINEERING 60s: Power Engineering 1 WATERLOO ELECTRICAL AND COMPUTER ENGINEERING 60s Power Engineering Department of.
Power Integrity Analysis and Optimization in the Substrate Design Harini M, Zakir H, Sukumar M.
Introduction to CMOS VLSI Design Lecture 20: Package, Power, and I/O
S. Reda EN160 SP’08 Design and Implementation of VLSI Systems (EN1600) Lecture 14: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
Institute of Digital and Computer Systems 1 Fabio Garzia / Finding Peak Performance in a Process23/06/2015 Chapter 5 Finding Peak Performance in a Process.
September 28 th 2004University of Utah1 A preliminary look Karthik Ramani Power and Temperature-Aware Microarchitecture.
Temperature-Aware Design Presented by Mehul Shah 4/29/04.
Power-Aware Computing 101 CS 771 – Optimizing Compilers Fall 2005 – Lecture 22.
A Floorplan-Aware Dynamic Inductive Noise Controller for Reliable Processor Design Fayez Mohamood Michael Healy Sung Kyu Lim Hsien-Hsin “Sean” Lee School.
Buck Regulator Architectures
Requirements: General, simple, and fast, and must model heating at the granularity of architectural objects  Must be able to dynamically calculate temperatures.
6.893: Advanced VLSI Computer Architecture, September 28, 2000, Lecture 4, Slide 1. © Krste Asanovic Krste Asanovic
EE466: VLSI Design Power Dissipation. Outline Motivation to estimate power dissipation Sources of power dissipation Dynamic power dissipation Static power.
University of California San Diego
Cooling design of the frequency converter for a wind power station
Modern VLSI Design 4e: Chapter 7 Copyright  2008 Wayne Wolf Topics Global interconnect. Power/ground routing. Clock routing. Floorplanning tips. Off-chip.
Low Power Techniques in Processor Design
Chalmers University of Technology FlexSoC Seminar Series – Page 1 Power Estimation FlexSoc Seminar Series – Daniel Eckerbert
High-Performance Networks for Dataflow Architectures Pravin Bhat Andrew Putnam.
OPTIMAL SERVER PROVISIONING AND FREQUENCY ADJUSTMENT IN SERVER CLUSTERS Presented by: Xinying Zheng 09/13/ XINYING ZHENG, YU CAI MICHIGAN TECHNOLOGICAL.
Research on Analysis and Physical Synthesis Chung-Kuan Cheng CSE Department UC San Diego
Low-Power Wireless Sensor Networks
1 Overview 1.Motivation (Kevin) 1.5 hrs 2.Thermal issues (Kevin) 3.Power modeling (David) Thermal management (David) hrs 5.Optimal DTM (Lev).5 hrs.
On-chip power distribution in deep submicron technologies
© International Rectifier DirectFET  MOSFETs Double Current Density In High Current DC-DC Converters With Double Sided Cooling.
Sogang University Advanced Computing System Chap 1. Computer Architecture Hyuk-Jun Lee, PhD Dept. of Computer Science and Engineering Sogang University.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Tortola: Addressing Tomorrow’s Computing Challenges through Hardware/Software Symbiosis Kim Hazelwood September 29, 2006.
1 CS/EE 6810: Computer Architecture Class format:  Most lectures on YouTube *BEFORE* class  Use class time for discussions, clarifications, problem-solving,
Introduction to CMOS VLSI Design Lecture 25: Package, Power, Clock, and I/O David Harris Harvey Mudd College Spring 2007.
Modern VLSI Design 4e: Chapter 3 Copyright  2008 Wayne Wolf Topics n Pseudo-nMOS gates. n DCVS logic. n Domino gates. n Design-for-yield. n Gates as IP.
Stochastic Current Prediction Enabled Frequency Actuator for Runtime Resonance Noise Reduction Yiyu Shi*, Jinjun Xiong +, Howard Chen + and Lei He* *Electrical.
ATAC: Ambient Temperature- Aware Capping for Power Efficient Datacenters Sungkap Yeo Mohammad M. Hossain Jen-cheng Huang Hsien-Hsin S. Lee.
Variation-Tolerant Circuits: Circuit Solutions and Techniques Jim Tschanz, Keith Bowman, and Vivek De Microprocessor Technology Lab Intel Corporation,
Modern VLSI Design 3e: Chapter 7 Copyright  1998, 2002 Prentice Hall PTR Topics n Power/ground routing. n Clock routing. n Floorplanning tips. n Off-chip.
Lev Finkelstein ISCA/Thermal Workshop 6/ Overview 1.Motivation (Kevin) 2.Thermal issues (Kevin) 3.Power modeling (David) 4.Thermal management (David)
Thermal-aware Phase-based Tuning of Embedded Systems + Also Affiliated with NSF Center for High- Performance Reconfigurable Computing This work was supported.
Power Integrity Test and Verification CK Cheng UC San Diego 1.
Patricia Gonzalez Divya Akella VLSI Class Project.
EE201C : Stochastic Modeling of FinFET LER and Circuits Optimization based on Stochastic Modeling Shaodi Wang
Computer Science and Engineering Power-Performance Considerations of Parallel Computing on Chip Multiprocessors Jian Li and Jose F. Martinez ACM Transactions.
1 Dual-V cc SRAM Class presentation for Advanced VLSIPresenter:A.Sammak Adopted from: M. Khellah,A 4.2GHz 0.3mm 2 256kb Dual-V CC SRAM Building Block in.
Taniya Siddiqua, Paul Lee University of Virginia, Charlottesville.
CS203 – Advanced Computer Architecture
TECHNICAL PAPER ON SIMULTANEOUS AC-DC POWER TRANSMISSION
ISA CLICK CONTROL #38 – FALL 2014 ERIC BRUNNGRABER DRAKE ISABIRYE.
A DSP based on on-line UPS R.Padamaja G.Mamatha Reddy EEE EEE S.V.C.E S.V.C.E BY.
Authors: Tong Lin, Kwen-Siong Chong, Joseph S. Chang, and Bah-Hwee Gwee Journal: IEEE Journal of Solid-State Circuits, vol. 48, no. 2, 2013 Presented by:
Unified Adaptivity Optimization of Clock and Logic Signals Shiyan Hu and Jiang Hu Dept of Electrical and Computer Engineering Texas A&M University.
Overview Motivation (Kevin) Thermal issues (Kevin)
CS203 – Advanced Computer Architecture
PCIM Europe 2016 Power Conversion and Intelligent Motion
Power Electronics. Power Electronics Why Germanium is not used for manufacturing Controlled Rectifiers.
ESRDC Overview for Load Management
Hot Chips, Slow Wires, Leaky Transistors
Topics Off-chip connections..
Power Market Drivers Developing leading-edge technology to exceed current and future design trends Energy efficiency as a major design specification Regulations.
Challenges in Nanoelectronics: Process Variability
3D silicon package structure
Yiyu Shi*, Jinjun Xiong+, Howard Chen+ and Lei He*
32 BIT PARALLEL LOAD REGISTER WITH CLOCK GATING
Overview Motivation (Kevin) Thermal issues (Kevin)
Lev Finkelstein ISCA/Thermal Workshop 6/2004
The University of Adelaide, School of Computer Science
Welcome to Computer Architecture
Presentation transcript:

Overview Motivation (Kevin) Thermal issues (Kevin) Power modeling (David) Thermal management (David) Optimal DTM (Lev) Clustering (Antonio) Power distribution (David) What current chips do (Lev) HotSpot and sensors (Kevin)

Industry Trends Everyone knows… Many of us know… Few of us know… Transistor counts are increasing Clock frequencies are increasing Voltage levels are decreasing Many of us know… Temperature regulation will become difficult Few of us know… Power delivery may be another big problem The next several slides are courtesy of Russ Joseph, Princeton University

Power Supply Overview

Power Delivery Trends Driving Trends  V Supply Voltage Z = V/ I  I Transient Current Key Relationship Z = V/ I Resulting Trends Z Supply Impedance $ Power Supply Cost

Packaging Parallels Some interesting parallels between temperature and voltage regulation. Temperature Voltage Static Better materials Fancy Heatsink with huge fins Fans Many PWR/GND pins Decaps (In-package and On-die) Dynamic Dynamic Thermal Management Active Decaps µArch Voltage Control

Modeling Power Delivery Complicated Model Second Order Model

Simulating Power Delivery Wattch produces current waveform Impulse response of supply network Convolution of the two yields voltage waveform

Motivating Voltage Control Observations Static (electrical component) voltage regulation will become prohibitively expensive. Protects against a rarely encountered worst case. Proposition Dynamic voltage regulation can avoid adding discrete components, saving cost. Common/Worst case design trade-offs can be applied.

Voltage Control Voltage High Threshold - Increase Power (Phantom Firing) Voltage Low Threshold – Decrease Power (Clock Gate/Throttle)

Power distribution summary Power delivery requirements are increasing Architectural events, clock gating, power reduction techniques are a big source of the problem Architects can help reduce it with intelligent voltage control Control theory helps establish tight thresholds that guarantee reliability