FPGA Project I: Up/Down Counter w/ Async Reset

Slides:



Advertisements
Similar presentations
Modulo-N Counters Module M10.4 Section 7.2.
Advertisements

Lecture #28 Page 1 ECE 4110– Sequential Logic Design Lecture #28 Agenda 1.Counters Announcements 1.HW #13 assigned 2.Next: Test #2 Review.
Table 7.1 Verilog Operators.
Lab 7 : Decoders/Encoders : Slide #2 Slide #3 Slide #4 Slide #5 Slide #6 “1 of 10” Encoder “1 of 10” Encoder Connected to a SPST Keypad. Control Signal.
Stop Watch Sean Hicks Dongpu Jin ELEC 307 Project 2 Instructor: Alvaro Pinto April/12/2011.
LAB 3 – Review of the Assignment. -- Clarifications Vikram Murali. TA : CSE 140L Prof. CK Cheng.
6/12/20151 Sequence Detectors Lecture Notes – Lab 4 Sequence detection is the act of recognizing a predefined series of inputs A sequence detector is a.
Sequential Logic Design Process A sequential circuit that controls Boolean outputs and a specific time- ordered behavior is called a controller. StepDescription.
Counters Mano & Kime Sections 5-4, 5-5. Counters Ripple Counter Synchronous Binary Counters –Design with D Flip-Flops –Design with J-K Flip-Flops Counters.
1 Simple FPGA David, Ronald and Sudha Advisor: Dave Parent 12/05/2005.
Binary Counters Lecture L8.3 Section 8.2. Counters 3-Bit Up Counter 3-Bit Down Counter Up-Down Counter.
Lab 3 & 4 Discussion EE414/514 VHDL Design September 25.
Lab 5 :JK Flip Flop and Counter Fundamentals:
Capacitance Sensor Project
Lecture 6: PLC: Timers and Counters
Introduction to Counter in VHDL
4-bit Shift Register. 2-bit Register Serial-in-serial-out Shift Register.
Advanced FPGA Based System Design Lecture-9 & 10 VHDL Sequential Code By: Dr Imtiaz Hussain 1.
Advanced Digital Circuits ECET 146 Week 7 Professor Iskandar Hack ET 221B,
F.F. - 18/07/ User Guide of the Input Trigger Multiplexer unit with input signal rate counters.
Advanced Digital Circuits ECET 146 Week 5 Professor Iskandar Hack ET 221G, Me as I typed this slides.
Chapter 10 State Machine Design. 2 State Machine Definitions State Machine: A synchronous sequential circuit consisting of a sequential logic section.
VHDL Project I: Introduction to Testbench Design Matthew Murach Slides Available at:
Introduction to Sequential Logic Design Finite State-Machine Design.
ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN Lecture 17 Dr. Shi Dept. of Electrical and Computer Engineering.
EEE2243 Digital System Design Chapter 4: Verilog HDL (Sequential) by Muhazam Mustapha, January 2011.
ECE 448: Spring 11 Lab 3 Part 1 Sequential Logic for Synthesis.
Counters. In class excercise How to implement a “counter”, which will count as 0,3,1,4,5,7,0,3,1,…… Q2Q1Q0D2D1D
Advanced Digital Circuits ECET 146 Week 6 Professor Iskandar Hack ET 221G, Me as I typed this slides.
displayCtrlr Specification
CascadedBCDCntr&Display Aim : Capture, simulate and implement a 2-digit, loadable BCD up/down counter, with chip enable I/P (CE) and chip enable O/P (CEO).
Structured lab text problems
Digital Logic Design.
SIMON Presented By: Amanda Buczkowski James Jenkins Fadi Hanna.
The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL State Machines Anselmo Lastra.
 Seattle Pacific University EE Logic System DesignCounters-1 Shift Registers DQ clk DQ DQ ShiftIn Q3Q3 Q2Q2 DQ Q1Q1 Q0Q0 A shift register shifts.
Digital System Design using VHDL
Teaching Digital Logic courses with Altera Technology
ECE 3450 M. A. Jupina, VU, 2016 Capacitance Sensor Project Goal: Creation of a digital capacitance sensor circuit where a variation in capacitance changes.
Introduction to the DE0 Board Prof. Taeweon Suh Computer Science & Engineering Korea University COSE221, COMP211 Computer Logic Design.
ECE 448 Lab 3 FPGA Design Flow Based on Xilinx ISE and ISim. Using Seven-Segment Displays, Buttons, and Switches.
Dr. ClincyLecture Slide 1 CS Chapter 3 (3A and ) Part 8 of 8 Dr. Clincy Professor of CS.
Lab5-1 張明峰 交大資工系 Lab 5: FSM and BCD counters Implement the vending machine of lab 2 A two-digit BCD counter –two BCD counters –can load data in parallel.
ECE 448 Lab 3 FPGA Design Flow Based on Xilinx ISE and Isim. Using Seven-Segment Displays, Buttons, and Switches.
EMT 351/4 DIGITAL IC DESIGN Verilog Behavioral Modeling  Finite State Machine -Moore & Mealy Machine -State Encoding Techniques.
Pusat Pengajian Kejuruteraan Mikroelektronik EMT 351/4 DIGITAL IC DESIGN Verilog Behavioural Modeling (Part 4) Week #
Implementation of Pong over VGA on the Nexys 4 FPGA
40106B Schmitt Trigger (A way of switch De-Bouncing)
Lottery Speaker: Tsung-Yi Wu.
Instructor: Alexander Stoytchev
Lab02 :Logic Gate Fundamentals:
COMP211 Computer Logic Design Introduction to the DE2 Board
Learning Outcome By the end of this chapter, students are expected to be able to: Design State Machine Write Verilog State Machine by Boolean Algebra and.
ECEN 248 Lab 9: Design of a Traffic Light Controller
Dr. Clincy Professor of CS
University of Maryland Baltimore County Department of Computer Science and Electrical Engineering   CMPE 212 Laboratory (Discussion 12) Hasib Hasan
Instructor: Alexander Stoytchev
Dr. Clincy Professor of CS
Introduction to Sequential Circuits
EE4OI4 Engineering Design
EET 1131 Unit 11 Counter Circuits
Founded in Silicon Valley in 1984
Dr. Tassadaq Hussain Introduction to Verilog – Part-3 Expressing Sequential Circuits and FSM.
Lab 1. Introduction to the DE2 Board
14 Digital Systems.
Making) Melody timer.
ECE 448 Lab 3 – Part 1 FPGA Design Flow Based on
Advanced Computer Architecture Lecture 1
CBETA bunch pattern and BPM trigger generator Version 2
디 지 털 시 스 템 설 계 UP2 Kit를 이용한 카운터 설계
Presentation transcript:

FPGA Project I: Up/Down Counter w/ Async Reset Slides Available at: www.pages.drexel.edu/~mjm46 Matthew Murach

Methodology You are to design a basic 4 bit (0 thru F) counter This design should change state every 50 million clock cycles The counter will go up depending on which position is set on the u/d switch The counter should go to 0 immediately if the reset push button is pressed.

Layout in FPGA Counter Output.vhd (Made in the Tutorial) Counter S(0:7) D(0) Counter Controller.vhd Counter Output.vhd (Made in the Tutorial) Reset D(1) p54 D(2) U/D SW D(3) p93 To Display Clk p88

Logic for Design Your design can implement the design using several techniques. You could use a two state (one up and one down w/ the ieee arith library to perform addition and subtraction). You would switch the states on a press of the u/d button. You can program 16 states for all the positions of the counter and change the state accordingly depending on the u/d value.

Global Reset Async reset can be done in the following fashion Example: Architecture Behav of counter is Begin Process(clk) if (reset = ‘1’) then -- Active High Reset disp := “0000”; else -- insert state table here (s0,s1, etc) end if; End process; End Behav;

Counter Synchronization Since the clock of the device is around 50 MHz, you need to slow down the system so that you can see the output on the 7 segment LED display. Use a variable to count 50 million cycles. When this variable reaches 50 million the counter changes up/down depending on the value of the switch.

Wrap Around Counter The program should wrap around to 0 if up is asserted and the display is F The program should wrap around to F if down is asserted and the display is 0

Grading This project is to be done in the lab and will be checked off on completion. This project should take around 1- 1 1/2 hours to complete No report will be collected for this assignment There is no deadline on this assignment.