Algorithmic State Machine (ASM) Charts: VHDL Code & Timing Diagrams

Slides:



Advertisements
Similar presentations
Fundamentals of Digital Signal Processing יהודה אפק, נתן אינטרטור אוניברסיטת תל אביב.
Advertisements

Arbitrary Waveform Discussion 5.5 Example 34.
Ring Counter Discussion D5.3 Example 32. Ring Counter if rising_edge(CLK) then for i in 0 to 2 loop s(i)
Integer Square Root.
6/27/20061 Sequence Detectors Lecture Notes – Lab 5 Sequence detection is the act of recognizing a predefined series of inputs A sequence detector is a.
6/12/20151 Sequence Detectors Lecture Notes – Lab 4 Sequence detection is the act of recognizing a predefined series of inputs A sequence detector is a.
Dr. Turki F. Al-Somani VHDL synthesis and simulation – Part 3 Microcomputer Systems Design (Embedded Systems)
Finite State Machines Discussion D8.1 Example 36.
Algorithmic State Machine (ASM) Charts
George Mason University ECE 448 – FPGA and ASIC Design with VHDL Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts,
IC-UNICAMP MC 603/ Finite State Machines Mixed Style RTL Modeling Extraído da George Mason Univ. ECE 545 Lecture 5.
CS/EE 3700 : Fundamentals of Digital System Design Chris J. Myers Lecture 8: Synchronous Sequential Circuits Chapter 8.
1 Part V: VHDL CODING. 2 Design StructureData TypesOperators and AttributesConcurrent DesignSequential DesignSignals and VariablesState Machines A VHDL.
Chapter 10 State Machine Design. 2 State Machine Definitions State Machine: A synchronous sequential circuit consisting of a sequential logic section.
Finite State Machines VHDL ET062G & ET063G Lecture 6 Najeem Lawal 2012.
George Mason University ECE 545 – Introduction to VHDL ECE 545 Lecture 5 Finite State Machines.
CprE / ComS 583 Reconfigurable Computing
VHDL in 1h Martin Schöberl. AK: JVMHWVHDL2 VHDL /= C, Java,… Think in hardware All constructs run concurrent Different from software programming Forget.
George Mason University Design of Controllers Finite State Machines and Algorithmic State Machine (ASM) Charts ECE 545 Lecture 14.
1 ECE 545—Digital System Design with VHDL Lecture 6 Behavioral VHDL Coding (for Synthesis): Finite State Machines and ASMs 9/30/08.
George Mason University Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code ECE 448 Lecture 6.
VHDL Discussion Finite State Machines
VHDL for Finite State Machines. Sorry – no standard way One way –Use TYPE and SIGNAL ARCHITECTURE Behavior OF two_ones_fsm IS TYPE State_type IS ( A,
VHDL Discussion Finite State Machines IAY 0600 Digital Systems Design Alexander Sudnitson Tallinn University of Technology 1.
CEC 220 Digital Circuit Design VHDL in Sequential Logic Wednesday, March 25 CEC 220 Digital Circuit Design Slide 1 of 13.
George Mason University Controllers for Keccak_F and AES ECE 545 Lecture 11 Addendum.
Controllers ENGIN 341 – Advanced Digital Design University of Massachusetts Boston Department of Engineering Dr. Filip Cuckov.
VHDL for Sequential Logic
Algorithmic State Machines Sorting Signed & Unsigned Data Types
Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines.
ENG241 Digital Design Week #7 Sequential Circuits (Part B)
1 ECE 545 – Introduction to VHDL Algorithmic State Machines Sorting Example ECE 656 Lecture 8.
Chapter 11: System Design Methodology Digital System Designs and Practices Using Verilog HDL and 2008, John Wiley11-1 Chapter 11: System Design.
ECE DIGITAL LOGIC LECTURE 20: REGISTERS AND COUNTERS Assistant Prof. Fareena Saqib Florida Institute of Technology Fall 2015, 11/19/2015.
ECE 448 Lecture 6 Finite State Machines State Diagrams vs. Algorithmic State Machine (ASM) Charts.
ECE DIGITAL LOGIC LECTURE 21: FINITE STATE MACHINE Assistant Prof. Fareena Saqib Florida Institute of Technology Fall 2015, 11/24/2015.
George Mason University Design of Controllers using Algorithmic State Machine (ASM) Charts ECE 545 Lecture 12.
Algorithmic State Machine (ASM) Charts: VHDL Code & Timing Diagrams
George Mason University Finite State Machines Refresher ECE 545 Lecture 11.
Sequential statements (1) process
LAB #6 Sequential Logic Design (Flip Flops, Shift Registers)
Finite State Machines (part 1)
Week #7 Sequential Circuits (Part B)
Lecture L5.1 Mealy and Moore Machines
Describing Combinational Logic Using Processes
ECE 448 Lecture 6 Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code.
Introduction Introduction to VHDL Entities Signals Data & Scalar Types
Hao Zheng Comp Sci & Eng USF
ECE 448 Lecture 6 Finite State Machines State Diagrams vs. Algorithmic State Machine (ASM) Charts.
RTL Design Methodology
Sequential-Circuit Building Blocks
Finite State Machines Experiment 4 Introduction
VHDL (VHSIC Hardware Description Language)
ECE 448 Lecture 13 Multipliers Timing Parameters
ECE 545 Lecture 12 Design of Controllers Finite State Machines and Algorithmic State Machine (ASM) Charts.
ECE 545 Lecture 10 Design of Controllers Finite State Machines and Algorithmic State Machine (ASM) Charts.
ECE 545 Lecture 9 Design of Controllers Finite State Machines and Algorithmic State Machine (ASM) Charts.
VHDL Introduction.
ECE 545 Lecture 11 Design of Controllers Finite State Machines and Algorithmic State Machine (ASM) Charts.
Behavioral Modeling of Sequential-Circuit Building Blocks
Sequntial-Circuit Building Blocks
Figure 8.1. The general form of a sequential circuit.
Finite State Machines (part 1)
ECE 448 Lecture 6 Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code.
RTL Design Methodology Transition from Pseudocode & Interface
ECE 448 Lecture 6 Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL code ECE 448 – FPGA and ASIC Design.
Finite state machines Modelling FSM in VHDL.
ECE 448 Lecture 6 Finite State Machines State Diagrams vs. Algorithmic State Machine (ASM) Charts.
Sequntial-Circuit Building Blocks
(Sequential-Circuit Building Blocks)
Presentation transcript:

Algorithmic State Machine (ASM) Charts: VHDL Code & Timing Diagrams ECE 448 Lecture 7 Algorithmic State Machine (ASM) Charts: VHDL Code & Timing Diagrams

Required reading P. Chu, FPGA Prototyping by VHDL Examples Chapter 5, FSM

Recommended reading S. Brown and Z. Vranesic, Fundamentals of Digital Logic with VHDL Design Chapter 8, Synchronous Sequential Circuits Sections 8.1-8.5 Section 8.10, Algorithmic State Machine (ASM) Charts

Finite State Machines in VHDL

Recommended FSM Coding Style Process(Present State, Input) Present State Next State Process(clk, reset) Based on RTL Hardware Design by P. Chu

ASM Chart of Moore Machine reset S0 input 1 S1 1 input S2 output 1 input

Moore FSM in VHDL (1) LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY FSM_Moore IS PORT ( clk : IN STD_LOGIC ; reset : IN STD_LOGIC ; input : IN STD_LOGIC ; output : OUT STD_LOGIC) ; END FSM_Moore ;

Moore FSM in VHDL (1) ARCHITECTURE behavioral of FSM_Moore IS TYPE state IS (S0, S1, S2); SIGNAL Present_State, Next_State: state; BEGIN U_Moore: PROCESS (clk, reset) IF(reset = '1') THEN Present_State <= S0; ELSIF rising_edge(clk) THEN Present_State <= Next_State; END IF; END PROCESS;

Moore FSM in VHDL (2) Next_State_Output: PROCESS (Present_State, input) BEGIN Next_State <= Present_State; output <= '0'; CASE Present_State IS WHEN S0 => IF input = '1' THEN Next_State <= S1; ELSE Next_State <= S0; END IF;

Moore FSM in VHDL (3) WHEN S1 => IF input = '0' THEN Next_State <= S2; ELSE Next_State <= S1; END IF; WHEN S2 => output <= '1' ; IF input = '1' THEN Next_State <= S0; END CASE; END PROCESS; END behavioral;

ASM Chart of Mealy Machine reset S0 input 1 output S1 1 input

Mealy FSM in VHDL (1) LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY FSM_Mealy IS PORT ( clk : IN STD_LOGIC ; reset : IN STD_LOGIC ; input : IN STD_LOGIC ; output : OUT STD_LOGIC) ; END FSM_Mealy ;

Mealy FSM in VHDL (1) ARCHITECTURE behavioral of FSM_Mealy IS TYPE state IS (S0, S1); SIGNAL Present_State, Next_State: state; BEGIN U_Mealy: PROCESS(clk, reset) IF(reset = '1') THEN Present_State <= S0; ELSIF rising_edge(clk) THEN Present_State <= Next_State; END IF; END PROCESS;

Mealy FSM in VHDL (2) Next_State_Output: PROCESS (Present_State, input) BEGIN Next_State <= Present_State; output <= '0'; CASE Present_State IS WHEN S0 => IF input = '1' THEN Next_State <= S1; ELSE Next_State <= S0; END IF;

Mealy FSM in VHDL (3) WHEN S1 => IF input = '0' THEN Next_State <= S0; Output <= '1' ; ELSE Next_State <= S1; END IF; END CASE; END PROCESS; END behavioral;

Control Unit Example: Arbiter (1) reset r1 g1 r2 Arbiter g2 r3 g3 clock

ASM Chart for Control Unit - Example 4

VHDL code of arbiter LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY arbiter IS PORT ( Clk, Reset : IN STD_LOGIC ; r : IN STD_LOGIC_VECTOR(1 TO 3) ; g : OUT STD_LOGIC_VECTOR(1 TO 3) ) ; END arbiter ; ARCHITECTURE Behavior OF arbiter IS TYPE State_type IS (Idle, gnt1, gnt2, gnt3) ; SIGNAL y, y_next : State_type ;

VHDL code of arbiter – Style 2 (2) BEGIN PROCESS ( Reset, Clk ) IF Reset = '1' THEN y <= Idle ; ELSIF rising_edge(Clk) THEN y <= y_next; END IF; END PROCESS;

VHDL code of arbiter PROCESS ( y, r ) BEGIN y_next <= y; CASE y IS WHEN Idle => IF r(1) = '1' THEN y_next <= gnt1 ; ELSIF r(2) = '1' THEN y_next <= gnt2 ; ELSIF r(3) = '1' THEN y_next <= gnt3 ; ELSE y_next <= Idle ; END IF ; WHEN gnt1 => g(1) <= '1' ; IF r(1) = '0' THEN y_next <= Idle ; ELSE y_next <= gnt1 ;

VHDL code of arbiter WHEN gnt2 => g(2) <= '1' ; IF r(2) = '0' THEN y_next <= Idle ; ELSE y_next <= gnt2 ; END IF ; WHEN gnt3 => IF r(3) = '0' THEN y_next <= Idle ; ELSE y_next <= gnt3 ; END CASE ; END PROCESS ; END Behavior ;

Problem 1 Assuming ASM chart given on the next slide, supplement timing waveforms given in the answer sheet with the correct values of signals State, g1, g2, g3, in the interval from 0 to 575 ns.

ASM Chart

Reset Clk r1 r2 r3 State g1 g2 g3 0 ns 100 ns 200 ns 300 ns 400 ns

Problem 2 Assuming state diagram given on the next slide, supplement timing waveforms given in the answer sheet with the correct values of signals State and c, in the interval from 0 to 575 ns.

Reset X 1 a c Y c 1 b Z c 1 b

Clk a b State c Reset 0 ns 100 ns 200 ns 300 ns 400 ns 500 ns

FSM Coding Style Process(Present State,Inputs) Process(clk, reset)

Memory Controller RTL Hardware Design by P. Chu Chapter 10 oe<=1