Synopsys PrimeTime.

Slides:



Advertisements
Similar presentations
Z. Stamenković 1, M. Giles 2, and F. Russi 2 1 IHP GmbH, Frankfurt (Oder), GERMANY 2 Synopsys Inc., Mountain View, CA, USA 13th IEEE European Test Symposium,
Advertisements

Xilinx 6.3 Tutorial Integrated Software Environment (ISE) Set up basic environment Select Gates or Modules to Be simulated (Insert Program Code) Run Waveform.
Digital Systems Verification Lecture 13 Alessandra Nardi.
TOPIC : SYNTHESIS DESIGN FLOW Module 4.3 Verilog Synthesis.
OBJECTIVES Learn the history of HDL Development. Learn how the HDL module is structured. Learn the use of operators in HDL module. Learn the different.
Logic Synthesis – 3 Optimization Ahmed Hemani Sources: Synopsys Documentation.
Integrated Circuits Laboratory Faculty of Engineering Digital Design Flow Using Mentor Graphics Tools Presented by: Sameh Assem Ibrahim 16-October-2003.
Comm Operator Introduction Serial Port Tool
CSE241 Formal Verification.1Cichy, UCSD ©2003 CSE241A VLSI Digital Circuits Winter 2003 Recitation 6: Formal Verification.
1 4-bit ALU Cailan Shen Ting-Lu Yang Advisor: Dr. Parent May 11, 2005.
How to Start Up CCStudio 3 DSP LAB T.A.:
Assigned readings. SIGNALSTORM NANOMETER DELAY CALCULATOR CADENCE DATASHEET.
An Introduction to Synopsys Design Automation Jeremy Lee November 7, 2007.
King Fahd University of Petroleum and Minerals Computer Engineering Department COE 561 Digital Systems Design and Synthesis (Course Activity) Synthesis.
Supply Voltage Biasing in Synopsys Andy Whetzel University of Virginia 1.
Robust Low Power VLSI R obust L ow P ower VLSI Memory Management Units for Instruction and Data Cache for OR1200 CPU Core Arijit Banerjee ASIC/SOC Class.
Robust Low Power VLSI R obust L ow P ower VLSI Aatmesh Shrivastava Taniya Siddiqua Incorporating Reliability in SoC Flow.
Kazi ECE 6811 ECE 681 VLSI Design Automation Khurram Kazi* Lecture 10 Thanks to Automation press THE button outcomes the Chip !!! Reality or Myth (*Mostly.
Synthesis Presented by: Ms. Sangeeta L. Mahaddalkar ME(Microelectronics) Sem II Subject: Subject:ASIC Design and FPGA.
ASIC Design Flow – An Overview Ing. Pullini Antonio
Design Verification An Overview. Powerful HDL Verification Solutions for the Industry’s Highest Density Devices  What is driving the FPGA Verification.
Xilinx Programmable Logic Design Solutions Version 2.1i Designing the Industry’s First 2 Million Gate FPGA Drop-In 64 Bit / 66 MHz PCI Design.
Tanner Tools Tutorial S-Edit v13.0 Tutorial.
Fully Pipelined FPU for OR1200
Copyright © 1997 Altera Corporation 10/21/2015 Third Party EDA Tools Interface with Altera Max+Plus II Danny Mok Altera HK FAE
정 용 군 ( 전자공학과 대학원 ) 대상 : VLSI 설계 연구회 1,2,3 학년 기간 : ~ Synopsys Tool 교육 Synopsys 교육 1.
King Fahd University of Petroleum and Minerals Computer Engineering Department COE 561 Digital Systems Design and Synthesis (Course Activity) Synthesis.
1 Logic Synthesis Using Cadence Ambit. 2 Environment Setup Enter the following to.cshrc or a c-shell command file. –setenv LM_LICENSE_FILE full_path/license.dat.
ASIC to FPGA Conversion Flow. Conversion Feasibility Flow Chart Design Rules Checking Feasibility Report RTL CodeQuick Conversion ASIC Netlist Fault coverage.
Tools - Design Manager - Chapter 6 slide 1 Version 1.5 FPGA Tools Training Class Design Manager.
OCR GCSE Computing © Hodder Education 2013 Slide 1 OCR GCSE Computing Python programming 1: Introduction.
Speaker: Tsung-Yi Wu FPGA Design Flow (Part 2) : Simulation.
ECE 2372 Modern Digital System Design Section 4.8 Xilinx Schematic Capture Simulation Tutorial.
Ready to Use Programmable Logic Design Solutions.
ICS312 Introduction to Compilers Set 23. What is a Compiler? A compiler is software (a program) that translates a high-level programming language to machine.
Computer Science A 1. Course plan Introduction to programming Basic concepts of typical programming languages. Tools: compiler, editor, integrated editor,
1 VHDL & Verilog Simulator. Modelsim. 2 Change the directory to where your files exist (All of the files must be in a same folder). Modelsim.
VHDL From Ch. 5 Hardware Description Languages. History 1980’s Schematics 1990’s Hardware Description Languages –Increased due to the use of Programming.
Tutorial for Modelsim 1 Installation Download the Modelsim Student Edition: Follow the.
Physical Design of FabScalar Generated Cores EE6052 Class Project Wei Zhang.
-1- Soft Core Viterbi Decoder EECS 290A Project Dave Chinnery, Rhett Davis, Chris Taylor, Ning Zhang.
Altera Technical Solutions Seminar Schedule OpeningIntroduction FLEX ® 10KE Devices APEX ™ 20K & Quartus ™ Overview Design Integration EDA Integration.
EECE 320 L8: Combinational Logic design Principles 1Chehab, AUB, 2003 EECE 320 Digital Systems Design Lecture 8: Combinational Logic Design Principles.
VLSI 1 382M/460R Lab 2 DESIGN OF AN ARITHMETIC LOGIC UNIT (ALU)
Electrical and Computer Engineering 1 CPE 426/526 Armstrong and Gray- ASICs and the ASIC Design Process Dr. Rhonda Kay Gaede UAH.
Introduction to Vivado
ASIC Design Methodology
Physical Design of FabScalar Generated Cores
EMT 351/4 DIGITAL IC DESIGN Mrs. Siti Zarina Md Naziri
Jody Matos, Augusto Neutzling, Renato Ribas and Andre Reis
Open Science Framework
Programmable Logic Devices: CPLDs and FPGAs with VHDL Design
Topics HDL coding for synthesis. Verilog. VHDL..
Introduction to Static Timing Analysis:
CHAPTER 10 Introduction to VHDL
Timing Analysis 11/21/2018.
332:437 Lecture 7 Verilog Hardware Description Language Basics
Programmable Logic Design Solutions
More about DesignWorks
Design of an Arithmetic Logic Unit (ALU)
332:437 Lecture 7 Verilog Hardware Description Language Basics
VHDL Introduction.
Hayri Uğur UYANIK Very Large Scale Integration II - VLSI II
332:437 Lecture 7 Verilog Hardware Description Language Basics
Win with HDL Slide 4 System Level Design
THE ECE 554 XILINX DESIGN PROCESS
Logic Synthesis Tutorial
Measuring the Gap between FPGAs and ASICs
NetFPGA - an open network development platform
THE ECE 554 XILINX DESIGN PROCESS
Presentation transcript:

Synopsys PrimeTime

Introduction Static Timing Analysis tool Static Timing Analysis : Determines whether the design works at the required speed.

PrimeTime ASIC design from Design Compiler PrimeTime Timing performance and violation report or Layout Verilog from IC Compiler Design Constraints Rise/Fall Time Gate delay

PrimeTime Basic Flow set library path read the design set search_path set link_path read the design read_verilog link library and the design link add design constraints read_sdc add constant value to input port (for timing simulation) set_case_analysis report report_constraint report_timing

Constraints File Example

Timing report

PrimeTime GUI

GUI - Timing Path

GUI – Timing Inspection

Tutorial Sources http://read.pudn.com/downloads157/sourcecode/embed/701548 /PrimeTime_Intro_to_STA/print_materials/PTISTA_lab1_flow.p df http://userwww.sfsu.edu/necrc/files/synopsys%20tutorials/ASIC %20Design%20Flow%20Tutorial.pdf