Access to 65nm Technology through CERN

Slides:



Advertisements
Similar presentations
© 2014 Synopsys. All rights reserved.1 Wheres my glass slipper? TAU 2014 Nanda Gopal Director R&D, Characterization.
Advertisements

RAPID Memory Compiler Evaluation by David Artz
Eri Prasetyo Wibowo Universitas Gunadarma
Microelectronics User Group Meeting TWEPP 2009, Paris 22/9/2009.
MICROELETTRONICA Design methodologies Lection 8. Design methodologies (general) Three domains –Behavior –Structural –physic Three levels inside –Architectural.
USC INFORMATION SCIENCES INSTITUTE MOSIS OVERVIEW MSE99 July 19, 1999 César A. Piña
IACT 901 Module 9 Establishing Technology Strategy - Scope & Purpose.
Microelectronics User Group Meeting TWEPP 2012, Oxford, UK 19/9/2012.
Design Tools, Flows and Library Aspects during the FE-I4 Implementation on Silicon Vladimir Zivkovic National Institute for Subatomic Physics Amsterdam,
Status of 65nm foundry access for Aida June 2013 A. Marchioro CERN/PH-ESE.
65nm CMOS Foundry Services
A Perspective on Facilitated Access to Custom IC Design in Leading-Edge CMOS Technology Linton G. Salmon EDA for HPC, Cloud and Server SoC Design Workshop.
High-Quality, Low-Cost IC Fabrication with MOSIS
CAE tools and technology challenges in making deep sub-micron IC designs Kostas Kloukinas CERN, PH-ESE dept. CH1211, Geneve 23 Switzerland ESE Group seminar.
WP1 WP2 WP3 WP4 WP5 COORDINATOR WORK PACKAGE LDR RESEARCHER ACEOLE MID TERM REVIEW CERN 3 RD AUGUST 2010 Michał Bochenek Work Package 3: On-Detector Power.
Access, support and digital libraries for 65nm Sandro Bonacini CERN, PH-ESE dept. CH1211, Geneve 23 Switzerland.
Low power AES implementations for RFID
1 Radiation tolerance of commercial 130nm CMOS technologies for High Energy Physics Experiments Federico Faccio for the CERN(PH/MIC)-DACEL * collaboration.
EUDET Report - MICELEC Activities Design Tools Status.
ASIC Technology Support and Foundry Services at CERN Kostas Kloukinas CERN, PH-ESE dept. CH1211, Geneve 23 Switzerland.
Work Package 2: ASIC building blocks for SLHC ACEOLE Twelve Month Meeting 1 st October 2009 CERN – Geneva, Switzerland Paulo Moreira.
Process Monitor/TID Characterization Valencia M. Joyner.
Circuit design with a commercial 0.13  m CMOS technology for high energy physics applications K. Hänsler, S. Bonacini, P. Moreira CERN, EP/MIC.
Patrick R. Haspel, Computer Architecture Group, University of MannheimSEED-2002 SEED-2002 Support of Educational Course for Electronic Design Dipl.-Inf.
A.Marchioro - CERN/PH1 Accessing 130 nm CMOS Tech for ILC (Public Version) Oct 2006, Munich A. Marchioro CERN, Div. PH 1211 Geneva 23, Switzerland.
Advanced Semiconductor Technologies for SLHC A. Marchioro / PH-ESE.
Jorgen Christiansen, CERN PH-ESE 1.  Spokes persons and Institute chair elected ◦ SP’s: ATLAS: Maurice Garcia-Sciveres, LBNL CMS: Jorgen Christiansen,
ASIC technology support and foundry services at CERN
REALITY STATISTICAL CHARACTERIZATION OF A HIGH-K METAL GATE 32NM ARM926 CORE UNDER PROCESS VARIABILITY IMPACT Paul Zuber Petr Dobrovolny Miguel Miranda.
Update on the Design Implementation Methodology for the 130nm process Microelecronics User Group meeting TWEPP 2010 – Aachen Sandro Bonacini CERN PH/ESE.
Design tools and foundry access service plans for 65 nm technologies
Special Focus Session On CMOS MAPS and 3D Silicon R. Yarema On Behalf of Fermilab Pixel Development Group.
Development of Pixel Phase 2 chip. Goals New Pixel Chip needed to go beyond the Phase 1 baseline chip – Should be the solution for Phase 2 pixel – Should.
Microelectronics User Group Meeting TWEPP 2013, Perugia, IT 26/9/2013.
EUDET-MICELEC Status Report October 2007 A. Marchioro / CERN-PH.
MUG meeting Wrap Up A new version of the CMOS8RF (130nm) Mixed Signal Design kit has been developed at CERN and is ready for release.  Based on the foundry.
Motivation for 65nm CMOS technology
ASIC Building Blocks for Tracker Upgrade A. Marchioro / CERN-PH-ESE October, 2009.
WP3 Microelectronics and interconnection technology
CERN MIC Support for HEP Microelectronics Designers A. Marchioro / CERN-PH EUDET Meeting - December 14 th 2005.
Microelectronics User Group Meeting TWEPP 2013, Perugia, IT 26/9/2013.
News on the 130 nm technology support Wojciech BIALAS, Kostas KLOUKINAS CERN, PH-ESE dept. CH1211, Geneve 23 Switzerland.
GS CERN GS Department CH-1211 Genève 23 Switzerland CSC for Service Owners How to use the CERN Service Catalogue maintenance tool.
Microelectronics User’s twepp2008 Topical Workshop on Electronics for Particle Physics Naxos, Greece 16/9/2008.
Microelectronics User Group Meeting TWEPP 2015, Lisbon, Portugal 1/10/2015.
MUX-2010 DISCUSSION : W HAT DO WE NEED NEXT IN HEP.
Jorgen Christiansen, CERN PH-ESE 1.  EPIX ITN proposal did not get requested EU funding ◦ CERN based proposals did very bad this time. ◦ I better not.
Microelectronics for HEP A. Marchioro / CERN-PH-ESE.
R. Kluit Electronics Department Nikhef, Amsterdam. Integrated Circuit Design.
LPNHE - Serial links for Control in 65nm CMOS technology - 65nm CMOS - Higher density, less material, less power - Enhanced radiation hardness regular.
WP1 WP2 WP3 WP4 WP5 COORDINATOR WORK PACKAGE LDR RESEARCHER ACEOLE MID TERM REVIEW CERN 3 RD AUGUST 2010 ASIC Building Blocks for SLHC ACEOLE Mid Term.
H. Krüger, , DEPFET Workshop, Heidelberg1 System and DHP Development Module overview Data rates DHP function blocks Module layout Ideas & open questions.
An·ec·dote /ˈanikˌdōt/ Noun A short and amusing or interesting story about a real incident or person LBL - May 31,2013Support for uT at CERN - A. Marchioro1.
Access to ASIC design tools and foundry services at CERN for SLHC
ATPIX65A: presentation and very preliminary results
3DIC Consortium Meeting
MagicInfo Family Overview: Features, Players, and Licensing
Upgrade of the ATLAS MDT Front-End Electronics
LFoundry: an open foundry model enabling
Peripheral IP blocks per chip “Superstrip”
Development of the Data Handling Processor DHP
Freescale Semiconductor LLC, Moscow at a Glance
3D interconnection in the DevDET FP7 proposal
M. Kakoulin, S. Redant, G. Thys, S. Verhaegen, G. Franciscatto, B
DARE180U Platform Improvements in Release 5.6
Work Package 5 IC Technologies
PDK Standardization.
3D electronic activities at IN2P3
Presentation transcript:

Access to 65nm Technology through CERN Sandro Bonacini, Kostas Kloukinas, Alessandro Marchioro, CERN, PH-ESE dept. CH1211, Geneve 23 Switzerland

Overview of Technologies Foundry services & Technology technical support provided by CERN. CMOS 8RF-LM Low cost technology for Large Digital designs CMOS 8RF-DM Low cost technology for Analog & RF designs BiCMOS 8WL Cost effective technology for Low Power RF designs BiCMOS 8HP High Performance technology for demanding RF designs CMOS 9SF LP/RF High performance technology for dense designs 130nm CMOS 90nm CMOS CMOS 65 LP High performance technology for dense designs Mainstream technology CMOS8RF-DM (130nm) Full support: CERN compiled Mixed-Signal design kit Advance technology CMOS9LP/RF (90nm) Limited support: Project specific. Development of a “lightweight” standard cell library is in progress. Future technology CMOS10 (65nm) Plans to evaluate 65 nm processes for SLHC applications. Access to Physical Design Kit. No user support yet. 65nm CMOS 27/9/11 Kostas.Kloukinas@cern.ch

65nm Technology The TSMC 65nm technology is a candidate technology. Radiation Tolerance Qualification work is in progress Test Results are being presented at TWEPP 2011: “Characterization of a commercial 65nm CMOS technology for SLHC applications” by Sandro Bonacini, on Thursday 29/9 “News on SEU test in 90nm & 65nm technologies” by Sandro Bonacini, on SEU MUG session Negotiations are in progress with IMEC and the foundry to obtain access to IP libraries Development of a Mixed Signal Design Kit integrating foundry IP libraries having the physical layout views available. 27/9/11 Kostas.Kloukinas@cern.ch

65nm Technology We plan to offer: A Mixed Signal Design Kit that supports the same design work flows as the 130nm design Kit. Provide access to memory compiler services. Provide access to foundry services via IMEC. MPWs, Engineering runs, Production runs. Decide on a unique set of technology options. Preliminary selection of Technology options: TSMC 65nm LP (Low Power), LO (logic), with 6 metal layers. Core vdd: 1.2V, IO vdd: 2.5V, 3.3V NRE cost is strongly modulated by extra technology options. Very costly to support multiple design kits of different technology options. 27/9/11 Kostas.Kloukinas@cern.ch

65nm Technology Access (NDAs) Preliminary information 65nm Technology Access (NDAs) CERN External Institutes IMEC Foundry Signing an NDA to access technology data Request access to IMEC IMEC contacts Foundry Sign NDA vis-à-vis with Foundry 27/9/11 Kostas.Kloukinas@cern.ch

65nm Technology Support Services Preliminary information 65nm Technology Support Services Phase 1 Foundry PDK Foundry IPs Cadence VCAD design services CERN technology support Design Kit Access fees will apply for the Mixed Signal Kit CERN designers External designers 27/9/11 Kostas.Kloukinas@cern.ch

65nm Technology Support Services Preliminary information 65nm Technology Support Services Phase 2 Foundry PDK Foundry IPs Cadence VCAD design services CERN technology support IMEC Design Kit distribution Design Kit Design Kit CERN designers External designers 27/9/11 Kostas.Kloukinas@cern.ch

65nm Foundry Access Services Preliminary information 65nm Foundry Access Services CERN designers External designers GDS data IMEC CERN MPW GDS data Foundry 27/9/11 Kostas.Kloukinas@cern.ch

Thank You One more slide  27/9/11 Kostas.Kloukinas@cern.ch

MPW Call for Interest Please contact for participation to: Forthcoming MPW runs: CMOS8RF (130nm) CERN MPW, February 2012 (tentative) MOSIS MPW, Nov. 7, 2011 CMOS6 (250nm) November 2011. Keep us informed for your future submission plans. Contact: kostas.kloukinas@cern.ch 27/9/11 Kostas.Kloukinas@cern.ch

130nm Technology Support Services Foundry Physical IP vendors CAE Tools vendors CERN CAE tools & technology support Cadence VCAD design services CERN designers External designers 27/9/11 Kostas.Kloukinas@cern.ch