Jody Matos, Augusto Neutzling, Renato Ribas and Andre Reis

Slides:



Advertisements
Similar presentations
TOPIC : SYNTHESIS DESIGN FLOW Module 4.3 Verilog Synthesis.
Advertisements

Spartan-3 FPGA HDL Coding Techniques
RTL Design Introduction Decoder Encoder Multiplexer Tri-state Buffer
Cadence Design Systems, Inc. Why Interconnect Prediction Doesn’t Work.
Diagram 1 shows a four wires (w1, w2, w3 & w4) logic circuit.
Xing Wei, Wai-Chung Tang, Yu-Liang Wu Department of Computer Science and Engineering The Chinese University of HongKong
Ch.3 Overview of Standard Cell Design
High-Level Constructors and Estimators Majid Sarrafzadeh and Jason Cong Computer Science Department
CSE241 Formal Verification.1Cichy, UCSD ©2003 CSE241A VLSI Digital Circuits Winter 2003 Recitation 6: Formal Verification.
Timing Analysis Timing Analysis Instructor: Dr. Vishwani D. Agrawal ELEC 7770 Advanced VLSI Design Team Project.
Automatic Verification of Timing Constraints Asli Samir – JTag course 2006.
A Timing-Driven Soft-Macro Resynthesis Method in Interaction with Chip Floorplanning Hsiao-Pin Su 1 2 Allen C.-H. Wu 1 Youn-Long Lin 1 1 Department of.
Merging Synthesis With Layout For Soc Design -- Research Status Jinian Bian and Hongxi Xue Dept. Of Computer Science and Technology, Tsinghua University,
Placement-Centered Research Directions and New Problems Xiaojian Yang Amir Farrahi Synplicity Inc.
International Symposium of Physical Design San Diego, CA April 2002ER UCLA UCLA 1 Experimental Setup Cadence QPlace Cadence WRoute LEF/DEFLEF/DEF Dragon.
03/30/031 ECE 551: Digital System Design & Synthesis Lecture Set 9 9.1: Constraints and Timing 9.2: Optimization (In separate file)
Hierarchical Physical Design Methodology for Multi-Million Gate Chips Session 11 Wei-Jin Dai.
Register-Transfer (RT) Synthesis Greg Stitt ECE Department University of Florida.
Chapter 8: Problem Solving
ISE. Tatjana Petrovic 249/982/22 ISE software tools ISE is Xilinx software design tools that concentrate on delivering you the most productivity available.
MASSOUD PEDRAM UNIVERSITY OF SOUTHERN CALIFORNIA Interconnect Length Estimation in VLSI Designs: A Retrospective.
CRISP: Congestion Reduction by Iterated Spreading during Placement Jarrod A. Roy†‡, Natarajan Viswanathan‡, Gi-Joon Nam‡, Charles J. Alpert‡ and Igor L.
Module 6 Project Put your name here.
Horizontal Benchmark Extension for Improved Assessment of Physical CAD Research Andrew B. Kahng, Hyein Lee and Jiajia Li UC San Diego VLSI CAD Laboratory.
ASIC Design Flow – An Overview Ing. Pullini Antonio
1 Wire Length Prediction-based Technology Mapping and Fanout Optimization Qinghua Liu Malgorzata Marek-Sadowska VLSI Design Automation Lab UC-Santa Barbara.
HDL-Based Layout Synthesis Methodologies Allen C.-H. Wu Department of Computer Science Tsing Hua University Hsinchu, Taiwan, R.O.C {
FORMAL VERIFICATION OF ADVANCED SYNTHESIS OPTIMIZATIONS Anant Kumar Jain Pradish Mathews Mike Mahar.
Introduction to CMOS VLSI Design Lecture 5: Logical Effort GRECO-CIn-UFPE Harvey Mudd College Spring 2004.
ECO Timing Optimization Using Spare Cells Yen-Pin Chen, Jia-Wei Fang, and Yao-Wen Chang ICCAD2007, Pages ICCAD2007, Pages
ASIC, Customer-Owned Tooling, and Processor Design Nancy Nettleton Manager, VLSI ASIC Device Engineering April 2000 Design Style Myths That Lead EDA Astray.
Module 1.2 Introduction to Verilog
TOPIC : SYNTHESIS INTRODUCTION Module 4.3 : Synthesis.
Background Motivation Implementation Conclusion 2.
1 - CPRE 583 (Reconfigurable Computing): VHDL to FPGA: A Tool Flow Overview Iowa State University (Ames) CPRE 583 Reconfigurable Computing Lecture 5: 9/7/2011.
Dec 1, 2003 Slide 1 Copyright, © Zenasis Technologies, Inc. Flex-Cell Optimization A Paradigm Shift in High-Performance Cell-Based Design A.
Curtis A. Nelson 1 Technology Mapping of Timed Circuits Curtis A. Nelson University of Utah September 23, 2002.
FEV And Netlists Erik Seligman CS 510, Lecture 5, January 2009.
04/21/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Functional & Timing Verification 10.2: Faults & Testing.
Interconnect Characteristics of 2.5-D System Integration Scheme Yangdong (Steven) Deng & Wojciech P. Maly
OpenAccess Gear David Papa 1 Zhong Xiu 2, Christoph Albrecht, Philip Chong, Andreas Kuehlmann 3 Cadence Berkeley Labs 1 University of Michigan, 2 Carnegie.
Electricity and Circuits I can describe an electrical circuit as a continuous loop of conducting materials. I can combine simple components in a series.
Transistors to Gates © 2011 Project Lead The Way, Inc.Magic of Electrons.
EECE 320 L8: Combinational Logic design Principles 1Chehab, AUB, 2003 EECE 320 Digital Systems Design Lecture 8: Combinational Logic Design Principles.
COE 360 Principles of VLSI Design Delay. 2 Definitions.
Introduction to Verilog COE 202 Digital Logic Design Dr. Muhamed Mudawar King Fahd University of Petroleum and Minerals.
EMT 351/4 DIGITAL IC DESIGN Week # 1 EDA & HDL.
ASIC Design Methodology
TUTORIAL: Digital-on-Top
Placement study at ESA Filomena Decuzzi David Merodio Codinachs
Architecture and Synthesis for Multi-Cycle Communication
ECE 2110: Introduction to Digital Systems
On the Relevance of Wire Load Models
SoCKs Flow: Here, There, and Back Again
Lesson 4 Electricity Part 3.
Revisiting and Bounding the Benefit From 3D Integration
Timing Analysis 11/21/2018.
Introduction to Verilog
ECE 551: Digital System Design & Synthesis
SAT-Based Area Recovery in Technology Mapping
Alan Mishchenko University of California, Berkeley
ECE 699: Lecture 3 ZYNQ Design Flow.
THE ECE 554 XILINX DESIGN PROCESS
Logic Circuits Analysis
數位IC設計 Pei-Yin Chen, 陳培殷.
Measuring the Gap between FPGAs and ASICs
Design Methodology & HDL
9th and 10th Grade Math Cohort Presenters Adem Meta Aaron Brittain
THE ECE 554 XILINX DESIGN PROCESS
Wagging Logic: Moore's Law will eventually fix it
Presentation transcript:

Jody Matos, Augusto Neutzling, Renato Ribas and Andre Reis A Benchmark Suite to Jointly Consider Logic Synthesis and Physical Design Jody Matos, Augusto Neutzling, Renato Ribas and Andre Reis

Introduction Practical problem Which helps to solve Motivates Research answer Research question For which is found Defines Research problem

Polya's First Principle: Understand the problem Introduction Polya's First Principle: Understand the problem Do you understand all the words used in stating the problem? What are you asked to find or show? Can you restate the problem in your own words? Can you think of a picture or diagram that might help you understand the problem? Is there enough information to enable you to find a solution?

Introduction Practical problem Industry Which helps to solve Motivates Research answer Research question Benchmarks For which is found Defines Research problem Academia

Two separate communities RTL description Logic synthesis benchmarks and problem definition Physical design benchmarks and problem definition Logic Synthesis ≠ Netlist Physical Design Layout

Synthesis Goals Target Delay Area Cost Positive Circuit Delay slack Area vs delay/power Target Delay Area Cost Positive slack Circuit Delay

Synthesis Goals Target Delay Area Cost Circuit Delay Area vs delay/power Target Delay Area Cost Circuit Delay

Synthesis Goals Target Delay Negative slack Area Cost Circuit Delay Area vs delay/power Negative slack Target Delay Area Cost Circuit Delay

Synthesis Goals Target Delay Area Cost Circuit Delay Area vs delay/power Target Delay Area Cost Circuit Delay

Circuit delay sources Circuit Delay Late Arrival Wire Delay Gate Delay Circuit delay is composed of three main components Circuit delay has to be matched against e required time Circuit Delay Late Arrival Wire Delay Gate Delay Required time

Circuit delay sources vs files Late arrival times and required times are described in design constraints files Typically not distributed with benchmarks Circuit Delay Late Arrival (.sdc) Wire Delay Gate Delay Required time (.sdc)

Circuit delay sources vs files Wire delay depends on Pin placement and floorplanning (.def files) Also depend on routing resources, for which we have to include some different flavors in the benchmark set (to be done) the liberty files (.lib), for cell sizes Circuit Delay Wire Delay (.def, .lib) Late Arrival Gate Delay Required time

Circuit delay sources vs files Gate delay depends on Gate delay tables (.lib) Logic structure (.aag, .verilog) Circuit Delay Late Arrival Wire Delay Gate Delay (.lib, .aag, .verilog) Required time

A simple (motivational) example Consider a small four input circuit f(a,b,c,d) Input d has a very late arrival time Inputs a, b and c have a lot of slack d f a b c

A simple (motivational) example Consider a small four input circuit f(a,b,c,d) Input d has a very late arrival time Inputs a, b and c have a lot of slack d f a f b c

A simple (motivational) example Consider a small four input circuit f(a,b,c,d) Input d has a very late arrival time Inputs a, b and c have a lot of slack d f f a b c

A simple (motivational) example Consider a small four input circuit f(a,b,c,d) Input d has a very late arrival time Inputs a, b and c have a lot of slack d f f f1 a b f0 c

A circuit is not a benchmark A benchmark is determined by full context Late Arrival .sdc Wire Delay .def, .lib Gate Delay .lib, .aag, .verilog Circuit Delay Required time

A circuit is not a benchmark If a path is dominated by late arrival, we need to keep wire and gate delay under control Circuit Delay Late Arrival Wire Delay Gate Delay Required time

A circuit is not a benchmark If a path is dominated by wire delay, the solution is on floorplanning The other way around, if gate delay is small, this net is not a priority in place/route Circuit Delay Late Arrival Wire Delay Gate Delay Required time

A circuit is not a benchmark If a path is dominated by gate delay, the solution is in logic synyhesis Logic synthesis must be sure that wire delay is small Physical design must respect this afterwards Circuit Delay Late Arrival Wire Delay Gate Delay Required time

Case Studies More to demonstrate that the benchmarks go through a standard flow Demonstrates that “there is something to gain” by going physical aware What is best at the logical level, can become worst in terms of routability Standard Flow Physical aware % Target Time 3802 ps N/A #Cell Instances 133913 137035 + 2% Area Estimation 0.527 um² 0.565 um² + 7% Power Estimation 118.98 mW 133.31 mW + 12% Routing Violations 98791 35149 - 64%

Conclusions Circuit delay can have different sources, for instance Late arrival times Wire delay Gate delay To be able to see these different sources, a more complete design context is necessary Netlist Floorplan Design constraints We provide a set of benchmarks describing complete design context for circuits Considering physical design early in the flow has the potential to produce much better designs

Conclusion Polya's First Principle: Understand the problem Do you understand all the Files used in stating the problem? What are you asked to find or show? Can you restate the problem in your own words? Can you think of a picture or diagram that might help you understand the problem? Is there enough information to enable you to find a solution? To what problem? Can files be ignored?

Conclusion: being discussed for a while – IWLS03

Conclusion: being discussed for a while – IWLS03

Conclusion: being discussed for a while – IWLS13

Conclusion: being discussed for a while – IWLS13 Change brings opportunity

Conclusion There is a need for a design flow that mixes logic and physical synthesis more tightly Benchmarks (contests?) are needed to go in the right direction Efforts have to be made jointly by both communities ISPD IWLS