1 A hardware description language is a computer language that is used to describe hardware. Two HDLs are widely used Verilog HDL VHDL (Very High Speed.

Slides:



Advertisements
Similar presentations
ENEL111 Digital Electronics
Advertisements

The Verilog Hardware Description Language
Verilog Overview. University of Jordan Computer Engineering Department CPE 439: Computer Design Lab.
Supplement on Verilog adder examples
ELEN 468 Lecture 21 ELEN 468 Advanced Logic Design Lecture 2 Hardware Modeling.
Verilog Intro: Part 1.
Hardware Description Language (HDL)
16/04/20151 Hardware Descriptive Languages these notes are taken from Mano’s book It can represent: Truth Table Boolean Expression Diagrams of gates and.
SYEN 3330 Digital SystemsJung H. Kim Chapter SYEN 3330 Digital Systems Chapters 4 – Part3: Verilog – Part 1.
1 Pertemuan 9 Verilog HDL Matakuliah: H0362/Very Large Scale Integrated Circuits Tahun: 2005 Versi: versi/01.
 HDLs – Verilog and Very High Speed Integrated Circuit (VHSIC) HDL  „ Widely used in logic design  „ Describe hardware  „ Document logic functions.
1 Lecture 1: Verilog HDL Introduction. 2 What is Verilog HDL? Verilog Hardware Description Language(HDL)? –A high-level computer language can model, represent.
1 Hardware description languages: introduction intellectual property (IP) introduction to VHDL and Verilog entities and architectural bodies behavioral,
ECE 353 Computer Systems Lab I Verilog Hardware Description Language.
VHDL Intro What does VHDL stand for? VHSIC Hardware Description Language VHSIC = Very High Speed Integrated Circuit Developed in 1982 by Govt. to standardize.
Hardware Description Language HDL. 2 Hardware Description Language HDL  Describes circuits and systems in text. −As a software program.  Can be processed.
ECE 331 – Digital System Design Course Introduction and VHDL Fundamentals (Lecture #1)
Computer Organization Lecture Set – 03 Introduction to Verilog Huei-Yung Lin.
University of Jordan Computer Engineering Department CPE 439: Computer Design Lab.
Hardware Description Language(HDL). Verilog simulator was first used beginning in 1985 and was extended substantially through The implementation.
ECE 2372 Modern Digital System Design
Chap. 1 Overview of Digital Design with Verilog. 2 Overview of Digital Design with Verilog HDL Evolution of computer aided digital circuit design Emergence.
ECE/CS 352 Digital Systems Fundamentals
Verilog HDL. Hardware Description Language  HDL – a “language” for describing hardware  Two industry IEEE standards: Verilog VHDL (Very High Speed Integrated.
Tutorial 1 Combinational Logic Synthesis. Introduction to VHDL VHDL = Very high speed Hardware Description Language VHDL and Verilog are the industry.
VHDL IE- CSE. What do you understand by VHDL??  VHDL stands for VHSIC (Very High Speed Integrated Circuits) Hardware Description Language.
Spring 2009W. Rhett DavisNC State UniversityECE 406Slide 1 ECE 406 – Design of Complex Digital Systems Lecture 3: Structural Modeling Spring 2009 W. Rhett.
Digital System 數位系統 Verilog HDL Ping-Liang Lai (賴秉樑)  
CPEN Digital System Design
Introduction to VHDL Spring EENG 2920 Digital Systems Design Introduction VHDL – VHSIC (Very high speed integrated circuit) Hardware Description.
Electrical and Computer Engineering University of Cyprus LAB 1: VHDL.
1 Hardware description languages: introduction intellectual property (IP) introduction to VHDL and Verilog entities and architectural bodies behavioral,
Chapter 5 Introduction to VHDL. 2 Hardware Description Language A computer language used to design circuits with text-based descriptions of the circuits.
CEC 220 Digital Circuit Design Introduction to VHDL Wed, February 25 CEC 220 Digital Circuit Design Slide 1 of 19.
ELEE 4303 Digital II Introduction to Verilog. ELEE 4303 Digital II Learning Objectives Get familiar with background of HDLs Basic concepts of Verilog.
CSCE 211: Digital Logic Design Chin-Tser Huang University of South Carolina.
CSCI-365 Computer Organization Lecture Note: Some slides and/or pictures in the following are adapted from: Computer Organization and Design, Patterson.
Introduction to ASIC flow and Verilog HDL
CEC 220 Digital Circuit Design Introduction to VHDL Friday, February 21 CEC 220 Digital Circuit Design Slide 1 of 10.
COE 202 Introduction to Verilog Computer Engineering Department College of Computer Sciences and Engineering King Fahd University of Petroleum and Minerals.
Chapter1: Introduction Digital System Designs and Practices Using Verilog HDL and 2008~2010, John Wiley 1-1 Chapter 1: Introduction Prof. Ming-Bo.
Verilog Intro: Part 1. Hardware Description Languages A Hardware Description Language (HDL) is a language used to describe a digital system, for example,
CEC 220 Digital Circuit Design Introduction to VHDL Wed, Oct 14 CEC 220 Digital Circuit Design Slide 1 of 19.
1 University of Jordan Computer Engineering Department CPE 439: Computer Design Lab.
Verilog-HDL Reference: Verilog HDL: a guide to digital design and synthesis, Palnitkar, Samir Some of slides in this lecture are supported by Prof. An-Yeu.
1 Lecture 1: Verilog HDL Introduction. 2 What is Verilog HDL? Verilog Hardware Description Language(HDL)? –A high-level computer language can model, represent.
SUBJECT : DIGITAL ELECTRONICS CLASS : SEM 3(B) TOPIC : INTRODUCTION OF VHDL.
Introduction to Verilog COE 202 Digital Logic Design Dr. Muhamed Mudawar King Fahd University of Petroleum and Minerals.
Hardware Description Languages: Verilog
Adapted from Krste Asanovic
Verilog-HDL Reference: Verilog HDL: a guide to digital design and synthesis, Palnitkar, Samir Some of slides in this lecture are supported by Prof. An-Yeu.
Discussion 2: More to discuss
Verilog-HDL-1 by Dr. Amin Danial Asham.
Topics Modeling with hardware description languages (HDLs).
KARTHIK.S Lecturer/ECE S.N.G.C.E
Hardware Description Languages: Verilog
Hardware Descriptive Languages these notes are taken from Mano’s book
Hardware Description Language
Hardware Description Language
Introduction to Verilog
Lecture 1.3 Hardware Description Languages (HDLs)
Hardware Descriptive Languages these notes are taken from Mano’s book
Hardware Description Language
Verilog-HDL Reference: Verilog HDL: a guide to digital design and synthesis, Palnitkar, Samir Some of slides in this lecture are supported by Prof. An-Yeu.
Hardware Description Language
Hardware Description Language
The Verilog Hardware Description Language
Introduction to Digital IC Design
COE 202 Introduction to Verilog
Presentation transcript:

1 A hardware description language is a computer language that is used to describe hardware. Two HDLs are widely used Verilog HDL VHDL (Very High Speed Integrated Circuit Hardware Description Language) Schematic design entry can be replaced by writing HDL code that CAD tools understand. CAD tools can verify the HDL codes, and create the circuits automatically from HDL codes.

2 VHDL represents another high level language for digital system design. In this course we study Verilog HDL reason: used more often in electronic and computer industry programming style is very similar to C programming language

3 We use Verilog, not VHDL, in EE 242. Verilog is more popular in industry than VHDL They offer similar features History of Verilog In 1980s, originally developed by Gateway Design Automation. In 1990, was put in public domain. In 1995, adopted as an IEEE standard In 2001, an enhanced version, Verilog 2001

4 Verilog HDL is for writing models of a system Reasons for modeling requirements specification documentation testing using simulation formal verification synthesis Goal most reliable design process, with minimum cost and time avoid design errors!

5 Design entry in several different ways Truth tables Schematic captures Hardware description languages (efficient !) Two types of representations in Verilog Structural Behavioral

6

7

8 HDL model specifies the relationship between input signals and output signals HDL uses special constructs to describe hardware concurrency, parallel activity flow, time delays and waveforms Verilog code for a AND gate module and_gate(y, x1, x2); inputx1, x2; outputy; and(y, x1, x2); endmodule

9 Generally, HDLs have built-in gate-level primitives Verilog has NAND, NOR, AND, OR, XOR, XNOR, BUF, NOT, and some others The gates operate on input values producing an output value typical Verilog gate instantiation is: and #delay instance-name (out, in1, in2, in3, …); optional“many”

10 examples AND gate  and (y, x1, x2); OR gate  or (y, x1, x2, x3, x4); NOT gate  not (y, x); Keywords: and, or, not are reserved

11 Module A logic circuit  module Its ports: inputs and outputs Begins with module, ends with endmodule example wire g,k,h;

12

13

14 One more example wire z1,z2,z3,z4;

15

16

17 module mux (f, a, b, sel); outputf; inputa, b, sel; wire nsel,f1,f2; and #5g1 (f1, a, sel), and g2 (f2, b, sel); or #5g3 (f, f1, f2); notg4 (nsel, sel); endmodule a b f sel delay from a or b to f.

18 Why behavioral representation? Using primitives can be tedious when circuits are large It is desired to describe the circuit in more abstract level – behavior Two fundamental types of behavior model Logic expression Procedural statements CAD synthesis tools use this representation to construct the actual circuit

19 Logic expression continuous assignment

20 Procedural statements always block Sensitivity list: statements evaluated only when one or more signals in list changes value. Statements evaluated in order One or more statements in one always block One or more always blocks in a module Declare a signal as a variable reg if the signal is assigned a value by procedural statements

21 module m555 (clock); output clock; reg clock; initial #5 clock = 1; always #50 clock = ~ clock; endmodule One more example

22 White space characters are ignored : SPACE, TAB, new line, blank lines Two forms to introduce comments single line: begin with // multiple lines: /*…..long comments */ Verilog is case sensitive Lower case letters are unique from upper case letter All Verilog keywords are lower case Identifiers begin with an alphabetic character or the underscore character may contain alphabetic characters, numeric characters, _, and $ up to 1024 characters long. The instance of a module must be named while the instance name of a primitive is optional. `include “ *.v”

23 Comments Lower case key words identifiers