Department of Electrical and Computer Engineering Auburn University, AL 36849 USA.

Slides:



Advertisements
Similar presentations
Weighted Random and Transition Density Patterns for Scan-BIST Farhana Rashid* Vishwani D. Agrawal Auburn University ECE Department, Auburn, Alabama
Advertisements

October 2nd Karthik’s MS Defense DVF4: A Dual Vth Feedback Type 4-Transistor Level Converter Master’s Defense Karthik Naishathrala Jayaraman Department.
Minimum Energy CMOS Design with Dual Subthrehold Supply and Multiple Logic-Level Gates Kyungseok Kim and Vishwani D. Agrawal ECE Dept. Auburn University.
Praveen Venkataramani Suraj Sindia Vishwani D. Agrawal FINDING BEST VOLTAGE AND FREQUENCY TO SHORTEN POWER CONSTRAINED TEST TIME 4/29/ ST IEEE VLSI.
Fall 06, Sep 19, 21 ELEC / Lecture 6 1 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic.
Polynomial-Time Algorithms for Designing Dual-Voltage Energy Efficient Circuits Master’s Thesis Defense Mridula Allani Advisor : Dr. Vishwani D. Agrawal.
Dual Voltage Design for Minimum Energy Using Gate Slack Kyungseok Kim and Vishwani D. Agrawal ECE Dept. Auburn University Auburn, AL 36849, USA IEEE ICIT-SSST.
True Minimum Energy Design Using Dual Below-Threshold Supply Voltages Kyungseok Kim and Vishwani D. Agrawal ECE Dept. Auburn University Auburn, AL 36849,
Energy Source Lifetime Optimization for a Digital System through Power Management Department of Electrical and Computer Engineering Auburn University,
May 14, ISVLSI 09 Algorithms for Estimating Number of Glitches and Dynamic Power in CMOS Circuits with Delay Variations Jins Davis Alexander Vishwani.
Low Voltage Sequential Circuit With a Ring Oscillator Clock ELEC 6270 Low power design of Electronic Circuits Spring, 2009 Presented by Mridula Allani.
S. Reda EN160 SP’08 Design and Implementation of VLSI Systems (EN1600) Lecture 14: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
Spring 07, Feb 20 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Reducing Power through Multicore Parallelism Vishwani.
A Tutorial on Battery Simulation - Matching Power Source to Electronic System Manish Kulkarni and Vishwani D. Agrawal Auburn University Auburn, AL 36849,
8/19/04ELEC / ELEC / Advanced Topics in Electrical Engineering Designing VLSI for Low-Power and Self-Test Fall 2004 Vishwani.
Priyadharshini Shanmugasundaram Vishwani D. Agrawal DYNAMIC SCAN CLOCK CONTROL FOR TEST TIME REDUCTION MAINTAINING.
March 16, 2009SSST'091 Computing Bounds on Dynamic Power Using Fast Zero-Delay Logic Simulation Jins Davis Alexander Vishwani D. Agrawal Department of.
8/18/05ELEC / Lecture 11 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 13: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
Ultra Low Power CMOS Design Ph.D. Dissertation Proposal Kyungseok Kim ECE Auburn Univ. Chair: Prof. Vishwani D. Agrawal Committee Members: Prof. Victor.
Spring 07, Feb 22 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Power Aware Microprocessors Vishwani D. Agrawal.
Architectural Power Management for High Leakage Technologies Department of Electrical and Computer Engineering Auburn University, Auburn, AL /15/2011.
By Praveen Venkataramani Vishwani D. Agrawal TEST PROGRAMMING FOR POWER CONSTRAINED DEVICES 5/9/201322ND IEEE NORTH ATLANTIC TEST WORKSHOP 1.
By Praveen Venkataramani Committee Prof. Vishwani D. Agrawal (Advisor) Prof. Adit D. Singh Prof. Fa Foster Dai REDUCING ATE TEST TIME BY VOLTAGE AND FREQUENCY.
EE466: VLSI Design Power Dissipation. Outline Motivation to estimate power dissipation Sources of power dissipation Dynamic power dissipation Static power.
Power-Aware SoC Test Optimization through Dynamic Voltage and Frequency Scaling Vijay Sheshadri, Vishwani D. Agrawal, Prathima Agrawal Dept. of Electrical.
Finding Optimum Clock Frequencies for Aperiodic Test Master’s Thesis Defense Sindhu Gunasekar Dept. of ECE, Auburn University Advisory Committee: Dr. Vishwani.
Copyright Agrawal, 2007ELEC5270/6270 Spring 13, Lecture 81 ELEC 5270/6270 Spring 2013 Low-Power Design of Electronic Circuits Power Aware Microprocessors.
Managing Performance and Efficiency of a Processor Advisor: Dr. Vishwani Agrawal Committee: Dr. Adit Singh and Dr. Victor Nelson Department of Electrical.
Determining the Optimal Process Technology for Performance- Constrained Circuits Michael Boyer & Sudeep Ghosh ECE 563: Introduction to VLSI December 5.
Copyright Agrawal, 2011ELEC5270/6270 Spr 15, Lecture 71 ELEC 5270/6270 Spring 2015 Low-Power Design of Electronic Circuits Energy Source Design Vishwani.
An Efficient Algorithm for Dual-Voltage Design Without Need for Level-Conversion SSST 2012 Mridula Allani Intel Corporation, Austin, TX (Formerly.
Jia Yao and Vishwani D. Agrawal Department of Electrical and Computer Engineering Auburn University Auburn, AL 36830, USA Dual-Threshold Design of Sub-Threshold.
Low Power Architecture and Implementation of Multicore Design Khushboo Sheth, Kyungseok Kim Fan Wang, Siddharth Dantu ELEC6270 Low Power Design of Electronic.
Using Cycle Efficiency as a System Designer Metric to Characterize an Embedded DSP and Compare Hard Core vs. Soft Core Advisor Dr. Vishwani D. Agrawal.
PRAVEEN VENKATARAMANI VISHWANI D. AGRAWAL Auburn University, Dept. of ECE Auburn, AL 36849, USA 26 th International.
26 th International Conference on VLSI January 2013 Pune,India Optimum Test Schedule for SoC with Specified Clock Frequencies and Supply Voltages Vijay.
Robust Low Power VLSI ECE 7502 S2015 Minimum Supply Voltage and Very- Low-Voltage Testing ECE 7502 Class Discussion Elena Weinberg Thursday, April 16,
A Test Time Theorem and Its Applications Praveen Venkataraman i Suraj Sindia Vishwani D. Agrawal
Basics of Energy & Power Dissipation
Patricia Gonzalez Divya Akella VLSI Class Project.
Computer Science and Engineering Power-Performance Considerations of Parallel Computing on Chip Multiprocessors Jian Li and Jose F. Martinez ACM Transactions.
VLSI Design & Embedded Systems Conference January 2015 Bengaluru, India Few Good Frequencies for Power-Constrained Test Sindhu Gunasekar and Vishwani D.
1 Very Low Voltage Operation of Benchmark Circuit c6288 Presented By: - Murali Dharan.
11/15/05ELEC / Lecture 191 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Power Problems in VLSI Circuit Testing Keynote Talk Vishwani D. Agrawal James J. Danaher Professor Electrical and Computer Engineering Auburn University,
ELEC Digital Logic Circuits Fall 2015 Delay and Power Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering.
CS203 – Advanced Computer Architecture
Characterizing Processors for Energy and Performance Management Harshit Goyal and Vishwani D. Agrawal Department of Electrical and Computer Engineering,
M V Ganeswara Rao Associate Professor Dept. of ECE Shri Vishnu Engineering College for Women Bhimavaram Hardware Architecture of Low-Power ALU using Clock.
ELEC 5270/6270 Spring 2013 Low-Power Design of Electronic Circuits Pass Transistor Logic: A Low Power Logic Family Vishwani D. Agrawal James J. Danaher.
CS203 – Advanced Computer Architecture
ELEC 7770 Advanced VLSI Design Spring 2016 Introduction
VLSI Testing Lecture 5: Logic Simulation
LOW POWER DESIGN METHODS V.ANANDI ASST.PROF,E&C MSRIT,BANGALORE.
Estimate power saving by clock slowdown for s5378 in 180nm and 32nm CMOS Chao Han ELEC 6270.
Vishwani D. Agrawal James J. Danaher Professor
Vishwani D. Agrawal Department of ECE, Auburn University
Analytical Delay and Variation Modeling for Subthreshold Circuits
Analytical Delay and Variation Modeling for Subthreshold Circuits
Reduced Voltage Test Can be Faster!
M.S. Thesis Defense Murali Dharan Advisor: Dr. Vishwani D. Agrawal
ELEC 7770 Advanced VLSI Design Spring 2012 Introduction
CSV881: Low-Power Design Multicore Design for Low Power
ELEC 7770 Advanced VLSI Design Spring 2010 Introduction
Dual Mode Logic An approach for high speed and energy efficient design
Vishwani D. Agrawal James J. Danaher Professor
ELEC 5270/6270 Spring 2011 Low-Power Design of Electronic Circuits Pass Transistor Logic: A Low Power Logic Family Vishwani D. Agrawal James J. Danaher.
Circuit Design Techniques for Low Power DSPs
Energy Efficient Power Distribution on Many-Core SoC
Presentation transcript:

Department of Electrical and Computer Engineering Auburn University, AL USA

 Prof. Vishwani Agrawal and Prof. Prathima Agrawal for their invaluable guidance throughout my work,  Prof. Victor P Nelson for being my committee member and for his courses that helped me understand various tools,  All staff members of EE department,  My friends and family for their support throughout my research. July 18, 20162

 Introduction  Problem Statement  Background  Methodology  Simulation setup  Results  Applications  Conclusion July 18, 20163

 Microprocessors—single-chip computers—are the building blocks of the information world.  In the next two decades, diminishing transistor-speed scaling and practical energy limits create new challenges for continued performance scaling.  Energy efficiency is the new fundamental limiter of processor performance, way beyond numbers of processors. July 18, 20164

 Performance, Power and Area are three conflicting goals, and industry demands that all three aspects be co-optimized.  To obtain a complete performance modelling requires marrying everything from high-level modelling and synthesis to better characterization and verification. 5

 Obtain data on voltage, frequency and cycle efficiency of the processor for time and energy optimization.  Determine operating conditions (voltage and frequency) for optimal time energy operations. July 18, 20166

 There are three main sources of power dissipation:  Dynamic power dissipation  Short circuit dissipation  Static/Leakage dissipation 8

Power = Energy/transition Transition rate  Due to charging and discharging of capacitances. = C L V DD f 0  1(1) = C L V DD 2 f P 0  1(2) = C switched V DD 2 f (3)  Power dissipation is data dependent – depends on the switching probability  Switched capacitance C switched = P 0  1 C L = α C L (α is called the switching activity) 9

 Short Circuit Power  Occurs during signal transitions when both pullup and pulldown paths are partially conducting causing a direct path between Vdd and GND.  Static Power  This power dissipation occurs all the time through leakage even when the device is in standby mode and is given as: P static = I static V dd July 18,

 What is Characterization?  Characterization over Process, Voltage, Frequency, Power, Temperature  Performance Metric  Energy Efficiency Metric July 18,

 PDP(Power Delay Product)/Energy per Cycle ▪ PDP = P avg x t p  Energy Delay Product.  Cycle Efficiency July 18,

 Clock Frequency  MIPS  MFLOPS  Synthetic Benchmarks  Performance per Watt July 18,

July 18,

 Time Performance of Processor.  Speed of a processor is measured in cycles per second or clock frequency (f). ▪ Here a clock cycle means 1/f second in time  Execution time of a program using C clock cycles = C/f  Time performance = f/C  Energy Performance of a Processor.  Efficiency of a processor may be measured in cycles per joule or cycle efficiency (η). ▪ Also, a clock cycle means 1/ η joule in energy  Energy dissipated by a program using C clock cycles = C/η  Energy performance = η/C  So the power consumed can be given as, P = f/ η (Product of Energy and Time) July 18,

 Technology Characterization  Simulate a reasonable size adder circuit using selected vectors.  Scale adder data to obtain processor power (cycle efficiency) and frequency at different operating points using scale factors.  Develop power management scenarios using cycle efficiency and frequency. July 18,

 Questa Sim  Design, compile and simulate designs  Leonardo Spectrum  ASIC and standard cell synthesis  Design Architect-IC  Schematic Capture  HSPICE  Circuit simulation and verification July 18,

July 18, 2016  Adder circuit  Fundamental block of functional units  Often in processor’s critical path  Used 16-bit Ripple Carry Adder.  PTM Models  Characterized in two PTM models: bulk CMOS and High-K  Technology node: 45nm, 32nm and 22nm 18

 1000 random vectors were generated using a MATLAB code  Simulation in H-spice in 90nm Bulk CMOS PTM at 1.4 volts and frequency 1.45 GHz gives cycle avg. power per vector. July 18,

July 18, 2016  Out of 1000 random vectors 50 vector pair were selected such that:  16 consume avg. power  17 consume above avg. power including the peak power vector pair  17 consume below avg. power including the min. power vector pair 21

Simulation Data from H-spice for 32nm Bulk CMOS PTM Model VoltagePower from simulationTiming from simulationEnergy per cycle V dd (v) p avg. (µW) p dyn (µW) p static (µW) P peak (µW) Critical path Delay (ps) f max (GHz) e dyn (fJ) e static (fJ) e avg. (fJ) July 18,

AVERAGE, PEAK, DYNAMIC AND STATIC POWER PDP/ENERGY PER CYCLE July 18,

July 18, 2016 Intel i5 Sandy Bridge 2500K Specifications Technology Node 32nm Voltage Range volts 3.3 GHz 5.01 GHz Thermal Design Power, TDP95 Watts Peak Power132 Watts 24

 TDP- is the average maximum power in watts the processor dissipates when operating at base frequency with all cores active under a manufacturer defined, high complexity workload.  Peak power is the maximum power dissipated by the processor. July 18,

July 18,

July 18,

July 18,

Scale FactorsCalculated Values Voltage factor, σ1 f nom, δ f max, γ Area factor, β July 18,

July 18,

VoltageScaled PowerScaled FrequencyEnergy per cycleCycle efficiency V dd (v) P avg. (W) P dyn (W) P static (W) f nom (GHz) f max (GHz) E fnom (nJ) E fmax (nJ) η ( 10 6 cycles/J ) ηo (10 6 cycles/J ) July 18,

 Because our own greatest access and insight involves Intel designs and data, our graphs and estimates draw heavily on them. July 18,

July 18, 2016 Plot showing proposed “Power Management Method" for three different regions. 35

July 18,

July 18, 2016 Voltage V dd (Volts) Clock Frequencies (MHz)Cycle efficiency Structure Constrained (f max ) Power Constrained (f TDP ) Peak η 0 at f max (10 6 cycles/J) η TDP at f TDP (10 6 cycles/J)

July 18,

July 18,

July 18,

TIME AND ENERGY FOR A PROGRAM THAT EXECUTES IN c= 2 BILLION CLOCK CYCLES Operating Modes Voltage (volts) Clock Frequency f (MHz) Cycle Efficiency η (10 6 cycles/J) Nominal Operating Point W Overclocked Operating Point 20% Ovrclk At 3300 (80% task) W = = At 5010 (20% task) W Optimum Operating Point W 0.44 (-28%) (-28%) Dynamic Voltage scale point W (-56%) 0.61 (0%) (-56%) Energy Efficient point

PTM Models Intel Processor used Nominal Operation Performance Optimization Energy Optimization Rated SpecificationsOptimized f TDP (MHz) V dd (v) η TDP (10 6 c/J) V dd (v) η TDP (10 6 c/J) V ddopt (v) f opt (MHz) η opt (10 6 c/J) V dd (v) f η0 (MHz) η 0 (10 6 c/J) 45nm bulk Core 2 Duo T nm High-K Core 2 Duo T nm bulk Core i K nm High-K Core i K nm bulk Core i QM nm High-K Core i QM

July 18, 2016  Present Work  Simulation based evaluation.  Power management is described through: ▪ Improving rated cycle efficiency ▪ Performance optimization ▪ Energy optimization  Future Work  Process variation can be taken in account  Effect of noise margin in sub-threshold region  Better evaluation of activity factor 43

July 18, 2016 [1] Harshit Goyal and V. D. Agrawal, “Characterizing Processors for Energy and Performance Management” in Proc. 16th International Workshop on Microprocessor/SoC Test and Verification (MTV), Austin, Texas, Dec. 3-4, 2015 [2] Harshit Goyal and V. D. Agrawal, “Characterizing Processors for Energy and Performance Management” IEEE VLSI Test Symposium, Las vegas, CA, April 2016 (Poster) [3] D. A. Patterson and J. L. Hennessy, Computer Organization& Design, the Hardware/Software Interface. San Francisco, California: Morgan Kaufman, fourth edition, [4] Aditi shinde and V. D. Agrawal, “Managing Performance and Efficiency of a Processor”, in proc. 45 th Southeastern Symp. System Theory, [5] K. Kim and V. D. Agrawal, “Dual Voltage Design for Minimum Energy using Gate Slack,” in Proc. International Conf. on Industrial Technology, 2011, pp. 419–424. [6] K. Kim and V. D. Agrawal, “Minimum Energy CMOS Design with Dual Subthreshold Supply and Multiple Logic-Level Gates,” in Proc. International Symp. Quality Electronic Design, 2011, pp. 689–694. [7]. Bienia, C. et. al. The PARSEC benchmark suite: Characterization and architectural implications. The 17th International Symposium on Parallel Architectures and Compilation Techniques (2008). [8]. Borkar, Shekhar, and Andrew A. Chien. "The future of microprocessors."Communications of the ACM 54.5 (2011):

July 18, 2016 [9] Wang, A; Chandrakasan, AP.; Kosonocky, S.V., "Optimal supply and threshold scaling for subthreshold CMOS circuits," VLSI, Proceedings. IEEE Computer Society Annual Symposium on, vol., no., pp.5,9, 2002 [10] Venkataramani, P. Reducing ATE Test Time by Voltage and Frequency Scaling. PhD thesis, Auburn University, Auburn, AL, May [11] Venkataramani, P., Sindia, S., and Agrawal, V. D. A Test Time Theorem and its Applications. Journal of Electronic Testing: Theory and Applications 30, 2 (2014), [12] Venkataramani, P., and Agrawal, V. D. Reducing Test Time of Power Constrained Test by Optimal Selection of Supply Voltage. In Proc. 26th International Conf. VLSI Design (Jan. 2013), pp [13] Design Architect User Guide. Mentor Graphics Corp., Wilsonville, OR, [14] HSPICE Signal Integrity User Guide. Synopsys, Inc., 700, East Middlefield Road, Mountain View, CA 94043, [15] Leonardo Spectrum User Guide. Mentor Graphics Corp., Wilsonville, OR, [16] Questa Sim User Guide. Mentor Graphics Corp., Wilsonville, OR, [17] Intel Core i5-2500K Processor (6M Cache, up to 3.70 GHz) Specifications, up-to-3 70-GHz. 45

July 18, Thank You