VADA Lab.SungKyunKwan Univ. 1 Lower Power Design Overview 1998. 6.7 성균관대학교 조 준 동 교수

Slides:



Advertisements
Similar presentations
Digital Integrated Circuits© Prentice Hall 1995 Low Power Design Low Power Design in CMOS.
Advertisements

VADA Lab.SungKyunKwan Univ. 1 L3: Lower Power Design Overview (2) 성균관대학교 조 준 동 교수
Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Topics High-level synthesis. Architectures for low power. GALS design.
L26: Power Estimation. year Power(W) i286 i386 DX 16 i486 DX25 i486 DX 50 i486 DX2 66 P-PC P6.
L27:Lower Power Algorithm for Multimedia Systems 성균관대학교 조 준 동
8/18/05ELEC / Lecture 11 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Mehdi Amirijoo1 Power estimation n General power dissipation in CMOS n High-level power estimation metrics n Power estimation of the HW part.
Processor Frequency Setting for Energy Minimization of Streaming Multimedia Application by A. Acquaviva, L. Benini, and B. Riccò, in Proc. 9th Internation.
Low Power Design of Integrated Systems Assoc. Prof. Dimitrios Soudris
Low power architecture and HDL coding practices for on-board hardware applications Kaushal D. Buch ASIC Engineer, eInfochips Ltd., Ahmedabad, India
3.1Introduction to CPU Central processing unit etched on silicon chip called microprocessor Contain tens of millions of tiny transistors Key components:
Using Programmable Logic to Accelerate DSP Functions 1 Using Programmable Logic to Accelerate DSP Functions “An Overview“ Greg Goslin Digital Signal Processing.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
L29:Lower Power Embedded Architecture Design 성균관대학교 조 준 동 교수,
6.893: Advanced VLSI Computer Architecture, September 28, 2000, Lecture 4, Slide 1. © Krste Asanovic Krste Asanovic
EE466: VLSI Design Power Dissipation. Outline Motivation to estimate power dissipation Sources of power dissipation Dynamic power dissipation Static power.
1 VLSI and Computer Architecture Trends ECE 25 Fall 2012.
Low-Power CMOS Logic Circuit Topic Review 1 Part I: Overview (Shaw) Part II: (Vincent) Low-Power Design Through Voltage Scaling Estimation and Optimization.
17 Sep 2002Embedded Seminar2 Outline The Big Picture Who’s got the Power? What’s in the bag of tricks?
Low Power Techniques in Processor Design
1 VLSI Design SMD154 LOW-POWER DESIGN Magnus Eriksson & Simon Olsson.
Ronny Krashinsky Seongmoo Heo Michael Zhang Krste Asanovic MIT Laboratory for Computer Science SyCHOSys Synchronous.
Lecture 03: Fundamentals of Computer Design - Trends and Performance Kai Bu
CAD for Physical Design of VLSI Circuits
Architectures for mobile and wireless systems Ese 566 Report 1 Hui Zhang Preethi Karthik.
Logic Synthesis for Low Power(CHAPTER 6) 6.1 Introduction 6.2 Power Estimation Techniques 6.3 Power Minimization Techniques 6.4 Summary.
Sogang University Advanced Computing System Chap 1. Computer Architecture Hyuk-Jun Lee, PhD Dept. of Computer Science and Engineering Sogang University.
Sub-expression elimination Logic expressions: –Performed by logic optimization. –Kernel-based methods. Arithmetic expressions: –Search isomorphic patterns.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Low Power Architecture and Implementation of Multicore Design Khushboo Sheth, Kyungseok Kim Fan Wang, Siddharth Dantu ELEC6270 Low Power Design of Electronic.
Section 10: Advanced Topics 1 M. Balakrishnan Dept. of Comp. Sci. & Engg. I.I.T. Delhi.
C OMPUTER O RGANIZATION AND D ESIGN The Hardware/Software Interface 5 th Edition Chapter 1 Computer Abstractions and Technology Sections 1.5 – 1.11.
ELEC692/04 course_des 1 ELEC 692 Special Topic VLSI Signal Processing Architecture Fall 2004 Chi-ying Tsui Department of Electrical and Electronic Engineering.
L28:Lower Power Algorithm for Multimedia Systems(2) 성균관대학교 조 준 동
Power Estimation and Optimization for SoC Design
Chapter 1 Computer Abstractions and Technology. Chapter 1 — Computer Abstractions and Technology — 2 The Computer Revolution Progress in computer technology.
Computer Organization & Assembly Language © by DR. M. Amer.
ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Under-Graduate Project Improving Timing, Area, and Power Speaker: 黃乃珊 Adviser: Prof.
System-level power analysis and estimation September 20, 2006 Chong-Min Kyung.
1 Power estimation in the algorithmic and register-transfer level September 25, 2006 Chong-Min Kyung.
Computer Systems Architecture Copyright © Genetic Computer School 2008 SA 2- 0 Lesson 2 The Digital Logic Level.
Low Power Processor Design VLSI Systems Lab. 3 월 28 일 박 봉 일.
EE141 © Digital Integrated Circuits 2nd Introduction 1 Principle of CMOS VLSI Design Introduction Adapted from Digital Integrated, Copyright 2003 Prentice.
ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses
FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Topics n Low power design. n Pipelining.
Class Report 林常仁 Low Power Design: System and Algorithm Levels.
VADA Lab.SungKyunKwan Univ. 1 L5:Lower Power Architecture Design 성균관대학교 조 준 동 교수
L9 : Low Power DSP Jun-Dong Cho SungKyunKwan Univ. Dept. of ECE, Vada Lab.
CS203 – Advanced Computer Architecture
LOW POWER DESIGN METHODS
-1- Soft Core Viterbi Decoder EECS 290A Project Dave Chinnery, Rhett Davis, Chris Taylor, Ning Zhang.
1 VLSI Algorithm & Computing Structures Chapter 1. Introduction to DSP Systems Younglok Kim Dept. of Electrical Engineering Sogang University Spring 2007.
COE 360 Principles of VLSI Design Delay. 2 Definitions.
CS203 – Advanced Computer Architecture
Lower Power and Deep Submicron VLSI Design
ECE354 Embedded Systems Introduction C Andras Moritz.
Stateless Combinational Logic and State Circuits
LOW POWER DESIGN METHODS V.ANANDI ASST.PROF,E&C MSRIT,BANGALORE.
VLSI Testing Lecture 5: Logic Simulation
Introduction ( A SoC Design Automation)
Morgan Kaufmann Publishers
Architecture & Organization 1
Architecture & Organization 1
BIC 10503: COMPUTER ARCHITECTURE
Circuit Design Techniques for Low Power DSPs
A High Performance SoC: PkunityTM
Chapter 1 Introduction.
HIGH LEVEL SYNTHESIS.
Computer Evolution and Performance
COMS 361 Computer Organization
Presentation transcript:

VADA Lab.SungKyunKwan Univ. 1 Lower Power Design Overview 성균관대학교 조 준 동 교수

VADA Lab.SungKyunKwan Univ. 2 Motivation Portable Mobile (=ubiquitous =nomadic) Systems with limited for heat sinks Lowering power with fixed performance: DSPs in modems and cellular phones Reliability: Increasing power ! increasing electromigration, 40- year reliability guarantee (product life cycle of telecommunication industries) Adding fans to reduce power cause reliability to plummet. Higher power leads to higher packaging costs: 2-watt package can be four times greater than a 1-watt package Myriad Constraints: timing, power, testability, area, packaging, time-to-market. Ad-Hoc Design: Lack a systematic process leading to universal applicability.

VADA Lab.SungKyunKwan Univ. 3 Motivation for low-power/low- voltage IC design

VADA Lab.SungKyunKwan Univ. 4

VADA Lab.SungKyunKwan Univ. 5 Technological evolution and performance progress of Intel's micro processors

VADA Lab.SungKyunKwan Univ. 6 Power Dissipation in VLSI’s MPU1 clock memory I/O clock I/O logic memory MPU1ASSP1 ASSP2 MPU1: low-end microprocessor for embedded use MPU2: high-end CPU with large amount of cache ASSP1: MPEG2 decoder ASSP2: ATM switch

VADA Lab.SungKyunKwan Univ. 7 System Requirement Low-power, high-performance System –flexibility –low-power –high throughput Design consideration –programmable DSP meet the flexibility needed in various forms of computing –dedicated(vertically integrated) high throughput and low-power applications

VADA Lab.SungKyunKwan Univ. 8 DSP trends –[1]Dedicated or application-specific fixed(or hard-wired) 0.5um CMOS, mm 2 /MOP, 0.02mW/MOP –[2]Application-specific(programmable)DSP 10 times area, 25 times power than [1] –[3]General-purpose DSP more flexible and faster time to market 0.1mm 2 /MOP, 2mW/MOP assembly code rather than microcode by [2] –[4] General-purpose microprocessor high-level language 1mm 2 /MOP, 50mW/MOP

VADA Lab.SungKyunKwan Univ. 9 Current Design Issues in Lower Power Problem Energy-hungry Function by Network Server Infopad (univ. of California, Berkeley), weight < 1 pound, 0.5W (re ective color display) + 0.5W (computation,communication, I/O support) = 1W (Alpha chip: 25W StrongARM: 215 MHz at 2.0V:0.3W)runtime 50 hours, target: 100MIPS/mW. Deep-sub micron ( ) with low voltage for portable full motion video terminal; 0:5  m : 40 AA NiMH; 1  m : 1 AA NiMH System-On-A-Chip to reduce external Interconnection Capacitances Power Management: shut down idle units Power Optimization Techniques in Software, Architecture,Logic/Circuit, Layout Phases to reduce operations, frequency, capacitance, switching activity with maintaining the same throughput.

VADA Lab.SungKyunKwan Univ. 10 Road-Map in Semiconductor Device Complexity

VADA Lab.SungKyunKwan Univ. 11 V dd vs Delay use architecture optimization to compensate for slower operation, e.g., Parallel Processing and Pipelining for concurrent increasing and critical path reducing. Scale down device sizes to compensate for delay (Interconnects do not scale proportionately and can become dominant)

VADA Lab.SungKyunKwan Univ. 12 Good Design Methodologies

VADA Lab.SungKyunKwan Univ. 13 Synthesis and Optimization Pareto point

VADA Lab.SungKyunKwan Univ. 14 Low-power design techniques at different levels of abstraction

VADA Lab.SungKyunKwan Univ. 15 Low-Power Design Flow developed at LIS

VADA Lab.SungKyunKwan Univ. 16 Low Power Design Flow I

VADA Lab.SungKyunKwan Univ. 17 Low Power Design Flow II

VADA Lab.SungKyunKwan Univ. 18 Execution unit idle time(PowerPC 603)

VADA Lab.SungKyunKwan Univ. 19 System Integration

VADA Lab.SungKyunKwan Univ. 20 Reducing Waste Locality of reference Demand-driven / Data-driven computation Application-specific processing Preservation of data correlations Distributed processing

VADA Lab.SungKyunKwan Univ. 21 Energy-Efficient Design 1) Reduce the supply voltage  Energy of switching drops quadratically with the supply voltage  This drop is accompanied by reduced circuit speed 2) Minimizing switching capacitance  Exploiting locality of reference with distributed computational structures, minimizing global interactions  Enforcing a demand-driven policy that eliminates switching activities in unused modules  Preserving temporal correlation in data streams by minimizing the degree of hardware sharing

VADA Lab.SungKyunKwan Univ. 22 Switching Activity

VADA Lab.SungKyunKwan Univ. 23 Eliminating Redundant Computations

VADA Lab.SungKyunKwan Univ. 24 Power saving concepts ý Work with parallel computation and low frequency. ý Reduce pipe stages to save registers (try to avoid hazards). ý Disable input toggling when the block is at idle state. ý Work with minimum gate size to reduce the toggle current. ý For outputs with large fanout’s speed up the transition to reduce the short circuit current (invest toggle current in order to save short circuit current).

VADA Lab.SungKyunKwan Univ. 25 Low-power embedded system design low-power embedded applications: PDAs, mobile phones, etc. power-efficient processor cores(ARM) cache/memory organization for low power power management on embedded system chips, comparative analysis of power drawn by subsystems (CPU, hard disk, display, and standby) of notebooks

VADA Lab.SungKyunKwan Univ. 26 High level optimization for low power use of parallel and/or pipelined structures, the choice of data representations, the exploitation of signal correlations, the synchronization of signals for glitching minimization, and an accurate analysis of the shared resources. At the algorithmic-level, applying arithmetic and logic transformations to the block diagram

VADA Lab.SungKyunKwan Univ. 27 VLSI Signal Processing Design Methodology pipelining, parallel processing, retiming, folding, unfolding, look-ahead, relaxed look-ahead, and approximate filtering bit-serial, bit-parallel and digit-serial architectures, carry save architecture redundant and residue systems Viterbi decoder, motion compensation, 2D- filtering, and data transmission systems

VADA Lab.SungKyunKwan Univ. 28 Power-hungry Applications Signal Compression: HDTV Standard, ADPCM, Vector Quantization, H.263, 2-D motion estimation, MPEG-2 storage management Digital Communications: Shaping Filters, Equalizers, Viterbi decoders, Reed- Solomon decoders

VADA Lab.SungKyunKwan Univ. 29 Power Estimation Techniques Circuit Simulation (SPICE): a set of input vectors, accurate, memory and time constraints Monte Carlo: randomly generated input patterns, normal distributed power per time interval T using a simulator switch level simulation (IRSIM): defined as no. of rising and falling transitions over total number of inputs Powermill (transistor level): steady-state transitions, hazards and glitches, transient short circuit current and leakage current; measures current density and voltage drop in the power net and identifies reliability problem caused by EM failures, ground bounce and excessive voltage drops. DesignPower (Synopsys): simulation-based analysis is within 8-15% of SPICE in terms of percentage difference (Probability-based analysis is within 15-20% of SPICE).

VADA Lab.SungKyunKwan Univ. 30 Power Estimation Techniques Static (non-Simulative) - useful for synthesis and architectural exploration –Probability-based –Entropy-based Dynamic (simulative) - useful for final power –Direct –Sampling-based –Compaction-based Hybrid (high-level simulation + low-level analytical model evaluation) –Power macromodels for datapath, control, memory –Instruction-level models for microprocessors, DSPs